Minutes of the August 18, 2003 SV-BC Meeting (Design Modeling Committee) 1 8 0 8 0 3 ---a Tom Kiley - Mentor -aaa Matt Maidment - Intel aaaa Brad Pierce - Synopsis aa-a Karen Pieper - Synopsis --aa Johny Srouji - Intel aaaa Dan Jacobi - Intel aaaa Dave Rich - Synopsys -aaa Francoise Martinolle- Cadence aa-a Jay Lawrence - Cadence aaa- Dennis Brophy - Mentor --a- Vassilios Gerousis - Infineon aa-- Cliff Cummings - Sunburst Design aa-- Mark Hartoog - Synopsys -a-- Don Mills - LCDM Engineering Meeting Minutes: ================ Dennis moves that we accept the minutes from the last meeting. Danny seconds. No opposed. No abstain. Passes. Review of open Action Items: ---------------------------- ==> Karen to confirm that SV-CC will take on issue #4: "VCD dumping for all types" SV-CC will take this one. Jay would like confirmation from the CC or Vassilios that there will be an extension to the VCD format and not just an API addition. Jay is not volunteering to donate something in this area. ==> Brad to contact Stu regarding issue #12 (whether it needs his approval) Stu said it was ok to go ahead and close it. ==> Dave will include further clarification issues that are required for issue #8 in a list and get them clarified, after which we will vote on this proposal. Dave looked at the SDF standard, and it doesn't look like we need to change anything. The tools need to be aware of the naming conventions used by the SDF file. We need to modify the BNF to allow a hierarchical name in a specify block. Dave's proposal: Add a section after 19.4, Modports 19.5 Interfaces and specify blocks The specify block is used to describe various paths across a module and perform timing checks to ensure that events occurring at the module inputs satisfy the timing constraints of the device described by the module. The module paths are from module input ports to output ports, and the timing checks are relative to the module inputs. The specify block refers to these ports as terminal descriptor. Module inout ports may function as either an input or output terminal. When one of the port instances is an interface, each signal in the interface becomes an available terminal, with the default direction as defined for an interface, or as restricted by a modport. A ref port may not be used as a terminal in a specify block. The following shows an example of using interfaces together with a specify block: interface itf; logic c,q,d; modport flop(input c,d,output q); endinterface module dtype(itf.flop ch); always_ff @(posedge ch.c) ch.q<=ch.d; specify ( posedge ch.c => (ch.q+:ch.d)) = (5,6); $setup( ch.d, posedge ch.c, 1 ); endspecify endmodule Replace in section A.7.3 input_identifier ::= input_port_identifier | inout_port_identifier output_identifier ::= output_port_identifier | inout_port_identifier with input_identifier ::= input_port_identifier | inout_port_identifier | interface_identifier.port_identifier output_identifier ::= output_port_identifier | inout_port_identifier | interface_identifier.port_identifier Dave moves that we accept this proposal for item 8. Cliff seconds. No opposed. Danny abstains. Passes. ==> Karen: update the web to reflect today's changes. Done ==> Karen will discuss with David who should own issue #7. SV-BC would own issue #7. Issues with Proposals: 4: From the action item discussion above: Jay would like confirmation from the CC or Vassilios that there will be an extension to the VCD format and not just an API addition. Jay is not volunteering to donate something in this area. 7: Matt will drive it. 12: Brad moves we close this. Dave seconds. No opposed. No abstain. Passes. 42: Neither Danny nor Stephen could find text indicating that this was ever in the standard. We will wait to close this until Francoise is back from vacation. 51: Karen moves that we close this as a duplicate of 37. Dave seconds. No opposed. No abstain. Passes. 55: Proposal modified with a friendly ammendment: * Under A.2.9 REPLACE modport_ports_declaration ::= modport_simple_ports_declaration | modport_hierarchical_ports_declaration | modport_tf_ports_declaration WITH modport_ports_declaration ::= { attribute_instance } modport_simple_ports_declaration | { attribute_instance } modport_hierarchical_ports_declaration | { attribute_instance } modport_tf_ports_declaration Danny moves that we accept this proposal. Cliff seconds this proposal. No opposed. No abstain. Passes. Karen will mark this issue as passed and open a new issue for the other missing locations. 56: Dave moves we accept this proposal. Brad seconds. Jay is opposed. No abstain. Passes. New issues discussion: 9: Karen moves that we close 9 as covered by the proposal for 8. Dave seconds. No opposed. Jay abstains. Passes. 14: Dave will produce a short paragraph. 16: To be defined as recursive as with $root. Needs to be synced with programs, interfaces. What about implicit instantiations of interfaces within modules? 54: Cliff does not want interface variables to be accessed without modports because he doesn't want ref ports for logic variables. Cliff will go investigate to see if his issue really exists after discussion with the committee. ---------------------- Open Action Items for the Next Meeting -------------------------------------- ==> Issue # 4: Karen to confirm that SV-CC will take "VCD dumping for all types" SV-CC will take this one. Jay would like confirmation from the CC or Vassilios that there will be an extension to the VCD format and not just an API addition. Jay is not volunteering to donate something in this area. ==> Karen: update the web to reflect today's changes. ==> Issue # 7: Matt will drive this one. ==> Issue # 14: Dave will drive this one. ==> Issue # 54: Cliff will drive this one. He is currently checking to see if it is a real issue. Our next meeting is 9/15/03 at 9am Pacific.