SV-EC Committee Meeting Monday May 12 11:00am - 1:00pm PDT [ http://www.eda.org/sv-ec/Minutes/SV-EC_Meeting_May_12_2008_Minutes.txt ] http://www.eda.org/sv-ec/Minutes.html With the new calculations for voting rights below (rounded)... 3/4 rule = 0.75 * 42 = 31.50 Meeting number: ------------------------------------------------ 00000000000000000000000000000000000000000000 00000000011111111112222222222333333333333444 12345678901234567890123456789012334456789012 Meeting Days: ------------------------------------------------ (12120202010202010131120202010121201102001211) Day (48159360488250595604159360671592630772437542) (00001111110000000000000000001111111100000000) Month (88990011221122334445667788990001122211233345) (00000000000000000000000000000000000000000000) Year (66666666667777777777777777777777777788888888) ------ Attendees ---------------------------- (-AAAAAAAAAAAAAAAAA-AAAA-A--AAAAA*AA*AAAAAAAA) Arturo Salz 37 (--AAA-AAAAAAA-AAAAAAAAAA--A-A-AAA*A*AAAAA-A-) Cliff Cummings 32 (AAAAAAA-AAAAAAAAAAAAAAAAAAAAAAAAA*A*AAAAAAAA) Dave Rich 41 (AA-A-AAA-AAAAAAA---AAAAAAAAAAAAAA*A*AAAAAA-A) Francoise Martinolle 35 (-AAAAAAAAAAAAAAAAAAA-AAAAAAAAA-AA*A*AAAAAAAA) Mehdi Mohtashemi 39 (AAAAAAAAAAAAAAAAAAAAAA-AAAAAAAAAA*A*AAAAAAA-) Neil Korpusik 40 (AAAAAAAAAA-AAAAAAAAAAAAAAAAAAAAAA*A*AAAA-AAA) Ray Ryan 40 (AAAAAAAAAAAA-AAA---AAA-AAAAAAAAAA*A*A-AAAAAA) Gordon Vreugdenhil 36 (AAAAAA--AAAAA-A--AAAAAAAAA-AAAAAA**AAAAAAAAA) Steven Sharp 36 (--AAAA-A-------------------------*-*--------) Phil Moorby 05 - Non-voting (---AA-AAA-AAAA-AA-A--------------*-*--------) Doug Warmke 12 - Non-voting (AAAAAAA---AA-A-AAAAAAA---AAAAAAAA**AA--AA-AA) Stu Sutherland 31 - (2 of last 3) (-AAAA--AAAA-A-AAAAA-AAAA-AAAAAAAA*A*-AAAAAAA) Heath Chambers 34 (-AAAAAA-A----AAAAAAAAA--AAAAAAA-A*A*AAAAA-AA) Don Mills 32 - (--AA--A---A-AAA--A-AAAA-A-A--A--A*-*AA--AA-A) Jonathan Bromley 21 - (2 of last 3) (--A------------------------------*-*--------) Logie Ramachandran 01 - Non-voting (----AAA--------------------------*-*--------) Melvin Cardoza 03 - Non-voting (-----A-AAAAAA-AAAAAAAAAAAAAAAAAAA*A*AAAAAAAA) Mark Hartoog 35 (-------A-------------A-----------*-*--------) Satia (from Intel) 02 - Non-voting (--------AAA----------------------*-*--------) Rob Slater 03 - Non-voting (-------------A-------------------*-*--------) Alex Gran - Mentor 01 - Non-voting (---------------A-AAA-AAAAA--A-AA-*A*A-------) Mike Mintz 14 - Non-voting (------------------AAAAAAAAAAAA-A-*-*--------) Geoffrey Coram 13 - Non-voting (-------------------AAAAAAAAAA-AAA*A*AAAAAAA-) David Scott - Mentor 21 - (2 of last 3) (------------------------A--------*-*--------) Benjamin Chen - Cisco 01 - Non-voting (---------------------------AAAAAA*A*-AA-AA--) Mike Burns - Freescale 11 - Non-voting (----------------------------------*A--------) Harry King - Cisco 01 - Non-voting (--------------------------------------A-----) Karen Pieper 01 - non-voting 14 people (other than chair) currently have voting rights for next meeting ** Minutes taken by Jonathan Bromley and Mehdi Mohtashemi ////////////////// May 12, 2008 ///////////////////////// Agenda: ------- 1. Review IEEE patent policy ------------------------- ref: http://standards.ieee.org/board/pat/pat-slideset.ppt NOTE: According to the rules of IEEE, the new patent policy was read by the chair (Mehdi) at the start of the meeting. There were no questions or issues raised by member present. 2. Review meeting minutes/Notes: ------------------------------------------------- Previous meeting: March 25 2008 and April 14 2008, http://www.eda.org/sv-ec/Minutes/SV-EC_Meeting_March_25_2008_Minutes.txt http://www.eda.org/sv-ec/Minutes/SV-EC_Meeting_April_14_2008_Minutes.txt Move: Heath - approve the meeting mintes for March 25th the April 14th meeting. Second: Gord Abstain: None Opposed: None Approved 3. Updates from p1800WG / Champions meeting / SV-SC ------------------------------------------------------ a) updates from p1800wg meeting May 1 2008 Draft 6 end of May 2008. Draft 7 September 10th, 2008. No WG meeting between now and 6, the next p1800WG meeting is scheduled for May 29 2008. Editorial corrections on all mantis items that went to draft 5 will get to Draft 6, no new proposal for draft 6. 4. Review of Draft 5 -------------------------------------------------------- Neil has sent the email regarding the review process., I have appended it to this email for everyone's review. Stu: In the Draft 5 any questions that were not resolved, are still there. refering to editor's questions: the text starts with Question. sv-ec folks should look at the ones pertinent to sv-ec and offer answer/solution. Gord: 2164, change for parents method, to base method. NOTE: We agreed Gord's suggestion is editorial, without vote. Jonathan: 520, superseded by other mantis, example should be deleted. deleting one line. NOTE: agreed it's editorial,without vote. Gord: 1858, in section 17.7 existing example, foo, bar. we have tried to stay away from foo/bar, unrelated to 1858, maybe we should have a mantis item. change the example in 17.7, class-foo, bar. Stu: has the email, he will change and confirmed that it is ok. NOTE: this request was agreed without vote. 4. Immediate issues to review ----------------------------------------------------------- Mantis 1742 [Need to review 1742, as it is flagged as a duplicate of 2234. It is placed in the feedback state.] Gord: it may require explanation, Francoise: how much more do we need to add, every example has a comment. Gord: the comment in the original thing, does it probabely does not need any explanation. Stu: that question was removed from Draft5. mantis 2234, added a short paragraph at the beginning. the explanatory sentence in 2234 covers it. Move: Gord to close 1742: 2234 sufficiently addresses the concern Second: Stu Abstain: None Opposed: None Approved place 1742 to CLOSED state. 5. Next meetings in 2008 ---------------------- June 2 2008. 11:00-1:00pm. [Note: May 26 is Memorial day holiday, and June 9 is start of DAC. Unless an immediate issue arises, will resume the bi-weekly schedule in june with the meeting on June 23 2008] Please check with the sv-ec web-site for updated meeting times/date http://www.eda.org/sv-ec/Minutes.html ================================================================ The following is not part of the minutes, for information only Note: back in EDITOR state by *. back in CLOSDE state by **. ================================================================ --- SV-EC mantis items in Draft 5 ---- 2243 issue with option.per_instance (david_scott) 1928 clarification of coverpoint value resolution (18.5.6) (David_Scott) 1897 clarify "union of all significant bins" and "overlapping bins" in coverage computation (david scott) 1871 clarification needed for illegal/ignore transition bins (David_Scott) 2149 Covergroups sample method with arguments [Arturo] 2214 Interaction of imports, $unit and bind are unclear (mark) 2141 Unclear if type parameters can be used with scope resoultion operator (mark_hartoog) 2142 Unclear if type parameters may be used to specify class base class (mark_hartoog) 1594 conditional operator for class handles incorrect (Francoise) 1608 equality, inequality and conditional operator rules for class handles (Françoise) 1560 Queue delete() method for entire array (DaveR) 1371 Semantic of program block $exit (DaveR) 2234 mistake in 24.9 (DaveR) 1777 Clarification of 1800-2005 section 18.4.1 (Don) 2227 Clarification 2008-02-14 7.8.6: string compare() methods should specify return value(Ray_Ryan) * 1707 streaming operator should specify which direction slices are taken from (DaveR-Jonathan) * 958 dynamic array size method (Shalom) * 1702 queue syntax issues (Jonathan) * 520 example of queues assignment (Francoise-Jonathan) * 1787 LRM needs to discuss transition bins of length 1 (Doug) * 1655 Coverage Calculation Corner Case Crumminess (Doug) * 1857 external method definitions and parameterized class types (Gord) * 2164 Use "base class" instead of "parent class" in 8.12 [Gord] * 1447 Contradictory stmts about unsized array dimensions (Mike B) * 1927 clarification of default sequence transition bin in covergroup (David_Scott) * 1851 all params declared inside class body are local (shalom) ** 1459 Mailbox 'new' method should never return null (DaveR) ** 1500 Forward typedef of a class is only to declare instances of that type (Dave) ** 1384 bit stream cast and pack/unpack for protected./local members (DaveR,Neil) ** 1336 Rules for allowed statements in a function (DaveR) ** 2021 Relax excessively severe restriction on what can connect to a clocking inout (Jonathan Bromley) ** 2211 typedefs are required for some type references (gordon) ** 2087 Semantic intent of qualified BNF terminals must be clarified (Gord) ** 2003 Old statement on foreach for wildcard indexed associative arrays (gordon) ** 1858 external method definitions and parameterized class types (Gord) ** 1609 import statements should not be allowed in class scopes (Gordon) ** 2181 Ambiguity in implicit declaration of production variables in randsequence [Ray] ** 2229 Clarify summary description for "inactive" random varaibles. (Ray_Ryan) ** 2233 Allowed types for randc (Ray_Ryan) ** 888 foreach identifiers are too restrictive (doug_warmke) ** 2215 LRM isn't clear enough on ways that a default specialization is constituted (Doug ) ** 1623 alternate timeunit syntax (shalom, heath) ** 1723 Size method for associative arrays (DaveR) ** 1556 in-line static variable initialization - require keyword static? (Dave) [in conjunction with sv-bc 2106 ] ** 2007 7.9.4: rules about int type index for associative arrays (Dave) ** 1580 Access to interface objects via virtual interface (Dave) ** 339 [This proposal was superseded by 0001702 and no longer relevant, check] (steven) ** 1679 3.6 string casting statement unclear (Shalom) ** 2025 The difference between string literals and array literals (shalom) ** 1980 make dynamic_array new consistent as new operator (Mehdi) ** 1612 Timeunits decls don't make sense in class decls (BNF-Mehdi) ** 2137 Some assertion contexts should be procedural (Mike Burns) ** 2113 Inconsistency in constraining assoc array size (Mike Burns) -------------------------------- Mantis: [not yet in draft5] 2279 Followup questions related to Mantis item 1858 - bnf for randomize (Neil) 2183 Only simple identifiers allowed in solve-before constraint (Ray) 2242 issues with get_coverage(ref int, ref int) (Dave Scott) 2302 Champions feedback for Mantis item 1447 (Mike B, Neil) -- sv-ec mantis items in Draft 4 -- 1789 1723 1556 1580 1612 1655 1732 1777 1671 1427 1787 1680 1736