Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


XC4000: Use NODELAY attribute to get (fast) Input FF databook speed w/o delay


Record #123

Problem Title:
XC4000: Use NODELAY attribute to get (fast) Input FF databook speed w/o
delay



Problem Description:



Solution 1:




To skip the delay block on the input of the IOB flip-flops in the 4000
family of devices, attach a 'NODELAY' property to the flip-flop.  The
exact method of doing this will vary depending on the entry tool.  See the
appropriate user's guide for more information.




End of Record #123

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents