Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


VIEWSIM timing simulation: "x" on the output of flip flop. XSIMMAKE


Record #486

Product Family:  Software

Product Line:  ViewLogic

Problem Title:
VIEWSIM timing simulation: "x" on the output of flip flop. XSIMMAKE


Problem Description:
Viewsim may show an "X" on the output of a DFF which XBLOX has merged into
the IOB during timing simulation.

If your design has been run through XBLOX and the archopt switch has not been
set to false, or if the attribute STYLE=IOB, XBLOX will merge DFFs into IOBs
where it can.  If you try forcing a value on the node that is shown in the
schematic as being connected to the D-input of the DFF and then clock it, the
value that appears on the output of the DFF may not necessarily be the value
you expect.  Most likely it will have the value "X".

XBLOX merges a flip flop in the schematic to the net that connects the IPAD to t
he IBUF of the particular IOB where the flip flop was merged. For instance, give
n a PAD that is connected to an IBUF through a net called "ibuf_in", and the out
put of the IBUF is connected to a flip	flop through a net called "d_in".  After
 XBLOX merges the flip flop into the IOB, the
net connected to the input of the flip flop is called "ibuf_in".  Therefore,
forcing a value on the net called "d_in" is irrelevant to the flip flop. Assume
for example that you are using an XBLOX INPUTS symbol instead of the IPAD and IB
UF.  Assume the label on the INPUTS is "data_in", and bit zero of the bus is con
nected to the FD.  After XBLOX has merged the flip flop, the net connected to th
e input of the flip flop is called "data_in\pad0".


Solution 1:

Determine which flip flops in your design have been merged into IOBs by XBLOX.
To force values at the d-inputs of the DFFs, apply the force at the input
to the corresponding IBUF, not at the input to the flip flop as shown in the
schematic.





End of Record #486

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents