Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


XSI/SYNOPSYS: Constraining I/O pin locations from within Synopsys.


Record #542

Product Family:  Software

Product Line:  Synopsys

Problem Title:
XSI/SYNOPSYS: Constraining I/O pin locations from within Synopsys.


Problem Description:
How do I assign pad/pin locations on the chip from the Synopsys environment ?


Solution 1:

At the command window, or in your script file, you should enter the
following command:

set_attribute  PAD "pad_location" -type string PIN#

Type in the pad name of the port you want to constrain in place of 'PAD'
and the actual pin number on the device that you want this port to be placed at,
 in
place of 'pin#' .

For example, for a port named 'data' to be placed at pin p56 on the device, type
:

set_attribute data "pad_location" -type string p56

in your script file, just before saving the final .sedif or .sxnf file, OR
at the command line in the command window, just before saving the final .sedif
or .sxnf file.

Note that all package pin numbers do not begin with "p".

To constrain the individual signals of a bus to certain pad locations, enclose t
he signal name in double quotes: For example, to constrain data<3> to pad p29, t
ype:

set_attribute "data<3>" "pad_location" -type string p29





End of Record #542

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents