Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


BOUNDARY SCAN/JTAG: Can TDI, TCK, TMS and TDO be connected to a user signal and BSCAN?


Record #1356

Problem Title:
BOUNDARY SCAN/JTAG: Can TDI, TCK, TMS and TDO be connected to a user
signal and BSCAN?



Problem Description:
Keywords: xc4000, xc5200, tap pins, tdo, user i/o

Urgency: Standard

General Description:
The TAP pins of the XC4000/XC5200 can be used for user I/O.

Some users, due to limited pin resources, may want to use
the TAP pins connected to a user signal and the BSCAN component.

Is this possible?


Solution 1:

If boundary scan is not enabled TDI, TCK and TMS can be genaric I/O
pins and TDO can be a tri-stateable output available after the 4ke/ex/xl
and 5k FPGAs has been configured.  The TAP pins cannot be used for both
a user I/O and connected to the BSCAN component at the same time.




End of Record #1356

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents