Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


How to run Viewsynthesis from DOS


Record #1451

Product Family:  Software

Product Line:  ViewLogic

Problem Title:
How to run Viewsynthesis from DOS


Problem Description:
Keywords: VHDL, XACTstep 6, DOS, viewsyn

Urgency: Standard

If Viewsynthesis runs out of memory or stops with a cryptic error message, a
possible workaround is to run it from DOS.


Solution 1:

Viewsynthesis commands: Top-Down Design

1. vsyn -cleanup
2. vsyn -vhdl {list_of_vhdl_files}
3. vsyn -synth -xtech {list_of_entities}
4. vsyn -synth -iopad -xtech top_level

1.Clearing the Work Library

Use the -cleanup option to clear the work library prior to synthesizing a
design.

2. Compiling the VHDL code

Use the -vhdl option followed by the list of vhdl code that needs to be
compiled.

3. Synthesizing

Use the -synth option to synthesize the entities.

This generate a wirelist (WIR\design.1).

4. IOPAD insertion

Use the -iopad option on the top level design for automatic IOPAD insertion.

5. Technology

Use -xtech to run Xilinx xc2000, xc3000 or xc5200 specific optimization or
-fpga to run Xilinx xc4000/E optimization.

6. Generating Schematic (optional)

Once the wire file has been created, a corresponding schematic can be
generated by the Viewgen command. The schematic can let you view the
synthesized gate netlist.

The recommended flow is to stay at the netlist level and create the xnf file
with the wir2xnf command.



End of Record #1451

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents