Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


Viewsynthesis: BSCAN and Mode pin instantiation


Record #1966

Product Family:  Software

Product Line:  ViewLogic

Problem Title:
Viewsynthesis: BSCAN and Mode pin instantiation


Problem Description:
Keywords: instantiate, mode pins, VHDL

Urgency: Standard

ViewSynthesis doesn't accept the instantiation of any symbol that has only
inputs or only outputs, so the instantiations of the TDI, TMS, TCK and TDO
symbols as well as MD0, MD1 and MD2 will be a problem.


Solution 1:

Since Viewsynthesis does not support the instantiation of these symbols, they
must be added via the schematic entry.

The steps are the following:

1) Compile and Synthesize the VHDL code with the option:
"create symbol" selected.
2) Load this symbol in the schematic editor.
3) Add the BSCAN symbol as well as the dedicated pads to the top level design.
4) Save this new top level design.

Note: The VHDL code can be re-synthesized as many time as necessary without
having to modify the top level schematic, as long as the external I/O are not
modified.



End of Record #1966

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents