Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


How to lock down I/O pins in Exemplar


Record #1975

Product Family:  Software

Product Line:  Exemplar

Problem Title:
How to lock down I/O pins in Exemplar


Problem Description:
Keywords: Exemplar, pin assignment, VHDL, Galileo, Leonardo

Urgency: Standard

General Description: How do I lock down I/O pins in a VHDL file using
Exemplar's Leonardo or Galileo?


Solution 1:

Using Exemplar's Leonardo or Galileo synthesis tool, you can assign pin
locations in VHDL.  The following is an example:

TYPE string_array IS ARRAY (natural RANGE<>, natural RANGE <>) OF
   character;
ATTRIBUTE pin_number : string;
ATTRIBUTE array_pin_number : string_array;
ATTRIBUTE array_pin_number OF y : signal IS ("P20", "P21", "P22",
   "P23");
ATTRIBUTE pin_number OF i : signal IS "P10";



End of Record #1975

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents