Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


SYNOPSYS 3.x: Set_max_delay attribute is not passed on to .sxnf


Record #2080

Product Family:  Software

Product Line:  Synopsys

Problem Title:
SYNOPSYS 3.x: Set_max_delay attribute is not passed on to .sxnf


Problem Description:
Keywords: FPGA Compiler, set_false_delay, set_max_delay, constraints, replace_fp
ga, timing

Urgency: Standard

General Description:

While attempting to add timing specifications in the Synopsys environment, users
 may realize that the specifications "set_max_delay" and "set_false_path" do not
 get passed on (in the form of C2S, P2S, C2P specs) to the .sxnf file. This is d
ue to the command "replace_fpga", which may change instance names of some of the
 registers.


Solution 1:

Execute the command twice; once before "compile" (for Synopsys), and once after
"replace_fpga" (for XACT). You will need to verify the instance name of your flo
ps after "replace_fpga".

To list out the instance name of you flops, you can run "all_registers" (Synopsy
s 3.3b or greater) at the top-level after executing "replace_fpga".



Solution 2:

Using the Xilinx-supplied Perl scripts Addtnm and Maketnm instead of Synopsys co
nstraints is an alternative. See (Xilinx Solution 1016) for more information.






End of Record #2080

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents