Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


M1 CPL: PWR_MODE attribute cannot be placed on non-logic symbols


Record #2327

Product Family:  Software

Product Line:  CPLD Implementation

Problem Title:
M1 CPL: PWR_MODE attribute cannot be placed on non-logic symbols


Problem Description:
Keywords:  PWR_MODE, Low Power, 9500, 5021

Urgency:  Standard

General Description:

When the PWR_MODE attribute is applied in a UCF file, it must
be attached to an "logic symbol" instance.  If it is attached
to a net you will see the following warning from the fitter
indicating that the attribute is not accepted:

WARNING:xr5021 - Parameter 'PWR_MODE' applied to non-logic
symbol '$1N70' (e.g., IPAD, IBUF, OBUF).  You can only use this
parameter on macrocell logic symbols.

NOTE:  PWR_MODE is allowed on an OPAD.	In this case it will be
backward traced to the macrocell associated with it.


Solution 1:

Use the following syntax in the UCF file to attach the property
to the logic symbol:

<INPUT>
INST $1I21 PWR_MODE=LOW;
</INPUT>

DO NOT use the following syntax in the UCF, which would attach
the property to a net:

NET $1N70 PWR_MODE=LOW;



End of Record #2327

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents