Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


XC5200: What is the delay setting for the IOB when driving logic insteadregisters?


Record #2423

Product Family:  Hardware

Product Line:  5200

Problem Title:
XC5200: What is the delay setting for the IOB when driving logic
insteadregisters?



Problem Description:
Keywords: nodelay, IOB, combinatorial, registers, flip flops,
   5200

Urgency: Standard


Solution 1:

The IOB is always set to NODELAY when driving logic instead of
registers. The only way the user can add delay to the IOB is
by editing the IOB block using Xact Design Editor



End of Record #2423

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents