Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


PAR 1.4: ERROR: x52ap:111 5200 Design uses to many TBUF's or BUFT's


Record #2583

Product Family:  Software

Product Line:  FPGA Implementation

Problem Title:
PAR 1.4: ERROR: x52ap:111 5200 Design uses to many TBUF's or BUFT's


Problem Description:
Keywords: PAR, Error:x52ap, BUFT, TBUF, Longline, Maximum,
	  Three state signals, 5200.

Urgency: Standard


ERROR:x52ap:111 - This design contains 64 three-state (TBUF) output signals. How
ever, the target device can only accommodate a maximum of 60 three-state signals
.  Please either reduce the number of three-state signals in your design accordi
ngly, or retarget your design to a larger XC5200 device.

This can be seen when you move a design that used to place and route in XACT, bu
t when moved to M1 issues the error.

This is the result of a software change. In a 5200 CLB there are 4 Logic Cells.
Each of these Logic Cells can drive a BUFT which in turn drives a Longline. In X
act the software would allow you to use all 4 of these BUFT's. However, M1 only
allows you drive 3 of the 4.


Solution 1:

If the design uses more than 75% of the BUFT's you will need to modify the desig
n or move to a larger part.

If the design uses less than 75% of the BUFT's the error is the result of user c
onstraining to many BUFT's to a certain row or column. The user will need to adj
ust his constraints accordingly.

This will be fixed in M1.5.



End of Record #2583

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents