Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


M1.3 dc2ncf: FROM:TO timespec edited incorrectly


Record #2605

Product Family:  Software

Product Line:  Merged Core

Problem Title:
M1.3 dc2ncf: FROM:TO timespec edited incorrectly


Problem Description:
keywords: dc2ncf,1.3,1.4,OFFSET,FROM:TO, bidi, bidirectional, negative,
negative delay


urgency: standard

general description:

If a set_input_delay constraint, and set_max_delay constraint
share a common port, dc2ncf will subtract the set_input_delay
from the set_max_delay; The translated set_max_delay to a
FROM:TO M1 spec may actually be smaller than specified by the user.


Solution 1:

dc2ncf converts a .dc file, which is written by Synopsys, into
a .ncf file.  A .dc file written by Synopsys contains some timing
constraints that dc2ncf can translate into M1 equivalents.  If there
are set_input_delay and set_max_delay constraints that contain
common ports, then the .ncf must be checked by the user, since
dc2ncf may incorrectly subtract the set_input_delay from the
set_max_delay.

For example, if you have the following constraints in a .dc
file from Synopsys' write_script command:



set_input_delay 10 "A" "CLK"
set_max_delay 100 -from "A" -to "B"

dc2ncf will translate the above to:

NET "A" OFFSET IN : 10 : AFTER "CLK";
TIMESPEC TS01 = FROM:"A":TO:"B":90;

The above translation is correct if the path from "A" to "B"
is a pad-to-pad path.  But, if the path from "A" to "B" is
a ff-to-ff path, then the adjustment isn't correct.  The
translated specs would have to be changed to:

NET "A" OFFSET IN : 10 : AFTER "CLK";
TIMESPEC TS01 = FROM:"A":TO:"B":100;



End of Record #2605

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents