Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


M1.3.7-pc: map FATAL_ERROR:basnc:basncsignal.c:262:1.61 - could not finda bel for a signal on pin G2


Record #2714

Product Family:  Software

Product Line:  Merged Core

Problem Title:
M1.3.7-pc: map FATAL_ERROR:basnc:basncsignal.c:262:1.61 - could not
finda bel for a signal on pin G2



Problem Description:
KEYWORDS:  map, error, FATAL_ERROR, basnc, Exemplar,
basncsignal.c:262:1.61, pin G2

URGENCY:  Standard

DESCRIPTION:
In M1.3.7 when running map you may get fatal error such as this:

FATAL_ERROR:basnc:basncsignal.c:262:1.61 - Could not find a
bel for a signal on
   pin G2 of comp dly_s/CLB3.  Its current programmed state is
: CLKX:CLK ECX:EC
   G2MUX:G2I XQMUX:QX DX:H SR:C3 EC:C4 H:#LUT:H=F H2:F
G:#LUT:G=G2
   F:#LUT:F=F3+F2 SRX:RESET FFX:#FF SETX:SR  Process will
terminate.  Please
   call Xilinx support.

Reference #: 100429


Solution 1:


This problem has been observed in Exemplar designs when these
are written out with FMAPs and EQNs.

If you are using Exemplar, turning off EQNs and MAPs
when writing out XNF from Exemplar Leonardo 4.0.3 may
eliminate the problem, which is associated with mapping
constraints generated by Exemplar.

In general, the "Could not find a bel" error message is
relatively generic, and all occurrences of such errors should
be verified against the latest revision of the Map program.
If the error still appears when processing the design with
the latest version of the software, and the workarounds
documented in the solutions referencing this error
message does not eliminate the error, it should be reported
as a separate bug.

This problem will be fixed in the M1.4 release.



Solution 2:

If you are using Exemplar Leonardo Synthesizer, another workaround is to write o
ut xnf output with gates instead of EQNs and NOT to include mapping (set xnf_wri
te_lut_binding FALSE, set xnf_write_clb_packing FALSE).



End of Record #2714

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents