Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


WARNING:baspl:291 - The TBUF component "XXX" could not be placed. (How to count the number of TBUF driven nets in a design.)


Record #2872

Product Family:  Software

Product Line:  Merged Core

Problem Title:
WARNING:baspl:291 - The TBUF component "XXX" could not be placed. (How
to count the number of TBUF driven nets in a design.)



Problem Description:
The number of TBUF driven nets that a 4K design can
accommodate is 4*num_rows. For example, an xc4036ex
has 36 rows and can accommodate 144 TBUF driven nets.

When this limitaion is exceeded, PAR prints the following
*misleading* warning message:

"WARNING:baspl:291 - The TBUF component "XXX" could not be placed."
ERROR:baspl:292 - This is probably due either to lack of resources or to prefere
nce conflicts. If PAR has been run,
please check the .par file for resource allocation and utilization and verify th
at there are sufficient resources
to place the component(s).  Also ensure that all placement conflicts have been r
esolved.

To check for this problem, it is necessary to count the
number of TBUF driven nets.


Solution 1:

The number of tbuf driven nets can be counted on a unix
machine with the following commands:

1. Create an awk script named tbuf.awk with the following
   commands:

   tbuf.awk:
   BEGIN {tbuf=0}
   $1 == "Config" && $2 == "String:" && $3 ~ /TBUF/ {tbuf=1}
   $1 == "Config" && $2 == "String:" && $3 !~ /TBUF/ {tbuf=0}
   tbuf == "1" && $4 == "O:" {print $5}

2. Run the command:

   ncdread design.ncd | awk -f tbuf.awk | sort | uniq | wc

   The first number returned by this command is the number
   of TBUF driven nets in the design.



End of Record #2872

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents