Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


Powerview 6.0, Edif Netlist Reader V2.3: BNF Parser Error Internal stackoverflow


Record #2923

Product Family:  Software

Product Line:  ViewLogic

Problem Title:
Powerview 6.0, Edif Netlist Reader V2.3: BNF Parser Error Internal
stackoverflow



Problem Description:
Keywords: powerview, edifneti, timing, simulation, ngd2edif

Urgency: Standard

General Description:
After ngd2edif creates a full timing edif file for a timing simulation using
the -n and -v viewlog command arguments (the -n, flatten netlist, is not the
default), Viewlogic's Edif reader (edifneti) generates errors on the timed
edif file (time_sim.edn).

The exact error is as follows:
Error prepco1_tim.edn 462469 Expecting "edif" (Token="GPA_T_GPA_QT4_EC").
Error tim_sim.edn 1 Expecting "edif" (Token=")").
EDIF (2.0.0) NETLIST READER - V2.3 ;
Powerview 6.0 (041896) c Copyright 1985,1996 by Viewlogic Systems, Inc.
Initializing EDIF Netlist Reader..... ˙˙˙mReading Input From File
'prepco1_tim.edn'. BNF Parser Error Internal stack overflow. (65535)
Re-reading the EDIF file....Please wait....


Solution 1:

This has been confirmed to be an edifneti bug.	If a hierarchical edif is
generated from ngd2edif (instead of a flat one), then edifneti will work
properly.

EDIFNETI V2.35 fixes this problem and is a part of Workview Office 7.31.
It is also available for SunOS from the patch area on Viewlogic's ftp site.
The location is:

ftp://ftp.viewlogic.com/pub/support/unix/Powerview/V6.0/SUN4Internet Link

and the file name is edifi235.tar.Z



End of Record #2923

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents