Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


EPIC: How to add a probe or route out a signal


Record #2979

Product Family:  Software

Product Line:  FPGA Implementation

Problem Title:
EPIC: How to add a probe or route out a signal


Problem Description:
Keywords:  EPIC, probe, add, Pad, signal

Urgency:  Standard

General Description:  How do I add a probe to a net and run it
out to a PAD such that I can use it externally?


Solution 1:

There is a perl script that
adds this functionality to EPIC (same as XDE).

If you are using M1.3 the script can be found at$XILINX/xbbs/userware.
If you are using M1.4 the script can be found at $XILINX/userware/utilities.

The script is add_probe.zip (PC) and add_probe.tar.Z (WS)

You will need PERL to be able to execute this script.



Solution 2:

You can also manually add the pad and route the signal to the
pad.  To do this:

1)  Change the Edit Mode to Read-Write.  To do this, go to the
Misc pull down menu and go to 'Post Main Attrs'  Click on
the menu next to 'Edit Mode' and select Read-Write.

2)  Add an IOB.  Select the unused IOB you wish to use (unused
is filled in with black, whereas used IOBs are blue) and
select ADD on the menu on the right side of the screen.

3)  Select the Pxxx.O pin of the IOB.  This is the little
triangle pointing into the IOB.

4)  Leaving the pin of the IOB selected, select the signal
you wish to probe as well. You should then have two items
selected.  Then click on 'ADD' on the menu on the right.

5)  Select the only the newly added IOB and click on
'EDITBLOCK' from the menu on the right.

6)  This will open up the underlying IOB representation.  You
should see the signal name on the O input pad of the IOB.
Next click on the small triangle on the first mux (on the
left), you can select either the true or complement route.

7)  Then select the triangle on the next mux in the route.
This should then route the signal (will turn blue) all the
way to the PAD of the IOB.




End of Record #2979

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents