Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


M1.3: TIMING: Page Fault in Win95, FATAL_ERROR:baspp:basppphys on Workstations


Record #3085

Product Family:  Software

Product Line:  FPGA Implementation

Problem Title:
M1.3: TIMING: Page Fault in Win95, FATAL_ERROR:baspp:basppphys on
Workstations



Problem Description:

Keywords: Timing, Backannotation, NGDANNO, NGD2EDIF, Page Fault, Fatal Error,
LIBBASNG.DLL, baspp, basppphys
Urgency: Standard
General Description:

Occasionally when backannotating the netlist with timing simulation data,
PCs will get the following Windows95 error:

NGD2EDIF caused an invalid page fault in module LIBBASNG.DLL at 0137:0048365b.
  <<Then there are a bunch of registers and byte values.>>

Workstations will get this error:

FATAL_ERROR:baspp:basppphys.c:684:1.20 - Sanity Error, No jump made across
inverter.  Process will terminate.  Please call Xilinx support.


Solution 1:


This is a result of correlating the mapped functional simulation netlist with
the routed timing simulation netlist.  This correlation is typically done to
preserve net names for the timing simulation because many nets get collapsed or
trimmed during place and route.

Correlation occurs in NGDANNO when the NGM file is included on the command line.


Currently, the only workaround for the above errors is to turn off this
correlation.

From the Design Manager, choose Implement.  Click on the "Options" button and
choose to edit the Implementation Template.  Under the Interface tab, deselect
 the option that says "Correlate Simulation Data to Input Design".

Now you should be able to back up in the flow engine and re-run the Timing
portion of the flow.  You can verify that the tools are not correlating the
simulation data to the original design by looking for the call to NGDANNO
and making sure that the NGM file is not included on the command line.



End of Record #3085

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents