Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


dc2ncf: ERROR [#154]: Invalid argument -max for set_input_delay constraint at line x


Record #3139

Product Family:  Software

Product Line:  Merged Core

Problem Title:
dc2ncf: ERROR [#154]: Invalid argument -max for set_input_delay
constraint at line x



Problem Description:
Keywords: dc2ncf, -max, set_input_delay, set_output_delay.

Urgency: Standard

General Description:  When usind dc2ncf, this error is
generated:

ERROR [#154]: Invalid argument -max for set_input_delay constraint at line x

Dc2ncf will generate a ncf file that does not contain the
timing contraint specified at line x.



Solution 1:

Dc2nf does not support the -max argument specified by Synposys
timing constraints.  Simply remove the -max argument from the
timing constraints and run dc2ncf again.

This problem only exists in M1.3. In M1.4.  Dc2ncf will ignore
the -max argument but write out the ncf file with the timing constraint specifie
d at line x.  The -max option for set_input_delay/set_output_delay
is not supported in M1.3 and M1.4.  Currently, Xilinx only supports
worst-case delays.

For more information about Synopsys timing constraints that are
supported by dc2ncf, please refer to Section: Creating Timing
Constraints, Chapter: 3, of the Synopsys XSI Interface/Tutorial
Guide.



End of Record #3139

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents