Return to Support Page
 homesearchagentssupportask xilinxmap

Answers Database


M1/Exemplar: How to instantiate Logiblox modules


Record #4181

Product Family:  Software

Product Line:  FPGA Implementation

Problem Title:
M1/Exemplar: How to instantiate Logiblox modules


Problem Description:
Keywords:  logiblox, instantiate, exemplar, leonardo, galileo

Urgency: Standard

General Description:  This solution record covers the basics
required to instantiate a logiblox component into an Exemplar
design.


Solution 1:

1)  Create Logiblox component.	In the SETUP window, choose
'other' as the Vendor and '()' for Bus Notation.

Also select your Project Directory, Device Family and Options
as normal.

2) Instantiate component into Verilog/VHDL code.  Logiblox will
create a VEI (verilog) or VHI (VHDL) file for your component.
Cut and paste this file into your Verilog/VHDL code.

The VEI/VHI file contains the component declaration as well as
an instantiation template.

3)  Run through Exemplar tools as normal.  However, when
writing out the EDIF netlist, go to the Advanced window and
de-select the 'Allow writing arrays (busses) in EDIF output'.

This will ensure the bus notation matches the Logiblox
component.

4) Continue with normal M1 flow.  Be sure that NGO file resides
in same directory as the EDIF netlist.



End of Record #4181

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Xilinx Expert Journals!

© 1998 Xilinx, Inc. All rights reserved
Trademarks and Patents