1949166131 304128 ./bin-win32i/LMGR326B.DLL 2803423915 247056 ./bin-win32i/advapi32.dll 1574169427 122880 ./bin-win32i/chiptree.ocx 1243768898 185104 ./bin-win32i/comdlg32.dll 3242579339 176128 ./bin-win32i/common.dll 1471447124 438272 ./bin-win32i/constedit.ocx 966491158 8830976 ./bin-win32i/dpmw.dll 1114315323 9187328 ./bin-win32i/fe_shell.exe 2296876311 28160 ./bin-win32i/feslw30.dll 3419295796 303104 ./bin-win32i/fexp.exe 1519415790 122880 ./bin-win32i/filetree.ocx 4129648280 1257472 ./bin-win32i/fpgaexp.exe 3653103853 166160 ./bin-win32i/gdi32.dll 2604599383 69632 ./bin-win32i/hdledit.ocx 2656161445 65536 ./bin-win32i/infoview.ocx 4154874920 375056 ./bin-win32i/kernel32.dll 1112093952 995383 ./bin-win32i/mfc42.dll 533880006 266293 ./bin-win32i/msvcrt.dll 1596769297 49152 ./bin-win32i/netbrowse.ocx 301190439 45056 ./bin-win32i/netlistpane.ocx 3128284743 1318912 ./bin-win32i/og70.dll 4094342929 723728 ./bin-win32i/ole32.dll 2573533408 1208320 ./bin-win32i/ot60.dll 2076254546 7872293 ./bin-win32i/quicktour.exe 2480074828 37136 ./bin-win32i/regsvr32.exe 725725397 282624 ./bin-win32i/schemctl.ocx 3691226568 1278736 ./bin-win32i/shell32.dll 277355486 332048 ./bin-win32i/user32.dll 1047020620 36112 ./bin-win32i/version.dll 2871062515 66560 ./getstart/frame/about.fm 3522683448 53248 ./getstart/frame/cover.fm 1762429074 44032 ./getstart/frame/fe_ags.book 3932888997 145408 ./getstart/frame/fpxgs_1.fm 2457728440 154624 ./getstart/frame/fpxgs_2.fm 360272735 793600 ./getstart/frame/fpxgs_3.fm 1032098309 33792 ./getstart/frame/index.fm 1863352928 18432 ./getstart/frame/lof.fm 764947697 16384 ./getstart/frame/lot.fm 1109791342 22528 ./getstart/frame/toc.fm 2867341373 731796 ./getstart/pdf/getstart.pdf 3063068734 1252 ./help/appnotes.cnt 2478989632 386419 ./help/appnotes.hlp 2707468110 3046 ./help/fpgaexp.cnt 1422897681 2600755 ./help/fpgaexp.hlp 1824974124 2147602 ./help/hdlref.pdf 4051123430 611 ./help/help.ext 976352686 211713 ./help/lpmhelp.hlp 1680816608 120 ./help/support.cnt 3829178451 14336 ./help/support.hlp 584361626 6556 ./help/vendordocs/Altera_fe+mp21.pdf 1091782133 3731765 ./help/vhdlref.pdf 3304951837 3716 ./helpext.htm 4294967295 0 ./helpsrc/appnotes.fhb 2894496674 2179072 ./helpsrc/fpgaexp.dba 1045650034 2252800 ./helpsrc/fpgaexp.dbb 1282015951 1566720 ./helpsrc/fpgaexp.dbc 411250252 37992 ./helpsrc/fpgaexp.dbd 3817903086 743424 ./helpsrc/fpgaexp.dbe 940591309 190464 ./helpsrc/fpgaexp.dbf 4179370268 368640 ./helpsrc/fpgaexp.dbg 3197931518 1765376 ./helpsrc/fpgaexp.dbh 4294967295 0 ./helpsrc/fpgaexp.fhb 4294967295 0 ./helpsrc/lpmhelp.fhb 4294967295 0 ./helpsrc/support.fhb 1517066241 11627 ./install.htm 2922986112 27945 ./install2.gif 1251119805 28631 ./installa.gif 2116176097 2977 ./lib/ACEX1K.lgc 4094454203 9505 ./lib/ACEX1K.lmf 1017522230 1625 ./lib/ACEX1K.pts 3725610488 917 ./lib/ALTERA.lgc 1117660817 3808 ./lib/APEX20K.lgc 1221656263 9363 ./lib/APEX20K.lmf 2685188736 1777 ./lib/APEX20K.pts 2511340065 1055 ./lib/APEX20K/AND12.dsn 4243917302 492 ./lib/APEX20K/AND2.dsn 3782124243 497 ./lib/APEX20K/AND2_CASC.dsn 2641467236 549 ./lib/APEX20K/AND3.dsn 74266052 602 ./lib/APEX20K/AND4.dsn 4036778008 716 ./lib/APEX20K/AND6.dsn 2932898634 826 ./lib/APEX20K/AND8.dsn 3455974860 368 ./lib/APEX20K/APEX20K_IO.dsn 3223475320 693 ./lib/APEX20K/APEX20K_IO_BIDIR.dsn 3515377134 407 ./lib/APEX20K/APEX20K_IO_INPUT.dsn 2167618291 539 ./lib/APEX20K/APEX20K_IO_OUTPUT.dsn 159144543 582 ./lib/APEX20K/APEX20K_LCELL.dsn 1522639857 2201 ./lib/APEX20K/APEX20K_LCELL_ARITHMETIC.dsn 815926601 918 ./lib/APEX20K/APEX20K_LCELL_ARITHMETIC_COUT.dsn 1300307770 3557 ./lib/APEX20K/APEX20K_LCELL_ARITHMETIC_DFFE.dsn 4147931833 3809 ./lib/APEX20K/APEX20K_LCELL_COUNTER.dsn 1320416530 2703 ./lib/APEX20K/APEX20K_LCELL_COUNTER_COUT.dsn 884482019 1256 ./lib/APEX20K/APEX20K_LCELL_COUNTER_DFFE.dsn 3230665961 3253 ./lib/APEX20K/APEX20K_LCELL_COUNTER_PLAIN.dsn 312954145 2470 ./lib/APEX20K/APEX20K_LCELL_NORMAL.dsn 436968610 4095 ./lib/APEX20K/APEX20K_LCELL_NORMAL_DFFE.dsn 2071016692 584 ./lib/APEX20K/A_21MUX.dsn 3700703349 1104 ./lib/APEX20K/BAND12.dsn 3727113951 501 ./lib/APEX20K/BAND2.dsn 1291026184 562 ./lib/APEX20K/BAND3.dsn 156692510 619 ./lib/APEX20K/BAND4.dsn 2285224213 741 ./lib/APEX20K/BAND6.dsn 4025240283 859 ./lib/APEX20K/BAND8.dsn 3067550435 1109 ./lib/APEX20K/BNAND12.dsn 2406830873 506 ./lib/APEX20K/BNAND2.dsn 1718999323 567 ./lib/APEX20K/BNAND3.dsn 2728536247 624 ./lib/APEX20K/BNAND4.dsn 2974279760 746 ./lib/APEX20K/BNAND6.dsn 425812799 864 ./lib/APEX20K/BNAND8.dsn 469201670 1056 ./lib/APEX20K/BNOR12.dsn 1304296490 493 ./lib/APEX20K/BNOR2.dsn 499053819 550 ./lib/APEX20K/BNOR3.dsn 2399457946 603 ./lib/APEX20K/BNOR4.dsn 2648273091 717 ./lib/APEX20K/BNOR6.dsn 144733506 827 ./lib/APEX20K/BNOR8.dsn 504537258 1059 ./lib/APEX20K/BOR12.dsn 1582816772 496 ./lib/APEX20K/BOR2.dsn 341101582 553 ./lib/APEX20K/BOR3.dsn 210606654 606 ./lib/APEX20K/BOR4.dsn 670403364 720 ./lib/APEX20K/BOR6.dsn 2443194672 830 ./lib/APEX20K/BOR8.dsn 2359652201 439 ./lib/APEX20K/CARRY.dsn 1310319293 441 ./lib/APEX20K/CASCADE.dsn 2782093856 712 ./lib/APEX20K/DFF.dsn 1574471750 646 ./lib/APEX20K/DFFC.dsn 3849249646 657 ./lib/APEX20K/DFFE.dsn 3047036011 542 ./lib/APEX20K/DFFS.dsn 1543897020 440 ./lib/APEX20K/GLOBAL.dsn 624754058 458 ./lib/APEX20K/INV.dsn 2935281014 583 ./lib/APEX20K/LATCH.dsn 2040733015 629 ./lib/APEX20K/LATCH_CLRN.dsn 2352299802 804 ./lib/APEX20K/LATCH_CLRN_MACRO.dsn 221043578 719 ./lib/APEX20K/LATCH_CLRN_PRN.dsn 3023269900 992 ./lib/APEX20K/LATCH_CLRN_PRN_MACRO.dsn 3744912155 636 ./lib/APEX20K/LATCH_PRN.dsn 1883048029 795 ./lib/APEX20K/LATCH_PRN_MACRO.dsn 593413828 452 ./lib/APEX20K/LCELL.dsn 4027071151 433 ./lib/APEX20K/LUT.dsn 1261245045 367 ./lib/APEX20K/LUT_CARRY.dsn 963794537 365 ./lib/APEX20K/LUT_PAD.dsn 4188051781 1060 ./lib/APEX20K/NAND12.dsn 3628126478 497 ./lib/APEX20K/NAND2.dsn 2431501751 554 ./lib/APEX20K/NAND3.dsn 549140926 607 ./lib/APEX20K/NAND4.dsn 1416970902 721 ./lib/APEX20K/NAND6.dsn 1640323169 831 ./lib/APEX20K/NAND8.dsn 1138342696 1103 ./lib/APEX20K/NOR12.dsn 2386721796 500 ./lib/APEX20K/NOR2.dsn 1382186427 561 ./lib/APEX20K/NOR3.dsn 802373544 618 ./lib/APEX20K/NOR4.dsn 3672500478 740 ./lib/APEX20K/NOR6.dsn 3966280673 858 ./lib/APEX20K/NOR8.dsn 2609554711 500 ./lib/APEX20K/OPNDRN.dsn 1026838949 1106 ./lib/APEX20K/OR12.dsn 1469137641 503 ./lib/APEX20K/OR2.dsn 391701796 508 ./lib/APEX20K/OR2_CASC.dsn 1938988204 564 ./lib/APEX20K/OR3.dsn 2453734472 621 ./lib/APEX20K/OR4.dsn 1017788591 743 ./lib/APEX20K/OR6.dsn 4181363264 861 ./lib/APEX20K/OR8.dsn 1539953390 468 ./lib/APEX20K/RSLATCH.dsn 862225983 534 ./lib/APEX20K/RSLATCH_MACRO.dsn 2098776267 438 ./lib/APEX20K/SOFT.dsn 2481126481 488 ./lib/APEX20K/TRIBUF.dsn 3549263597 497 ./lib/APEX20K/XNOR2.dsn 647145909 492 ./lib/APEX20K/XOR2.dsn 533791781 3837 ./lib/APEX20KE.lgc 1279193732 9365 ./lib/APEX20KE.lmf 3416642441 3822 ./lib/APEX20KE.pts 2511340065 1055 ./lib/APEX20KE/AND12.dsn 4243917302 492 ./lib/APEX20KE/AND2.dsn 3782124243 497 ./lib/APEX20KE/AND2_CASC.dsn 2641467236 549 ./lib/APEX20KE/AND3.dsn 74266052 602 ./lib/APEX20KE/AND4.dsn 4036778008 716 ./lib/APEX20KE/AND6.dsn 2932898634 826 ./lib/APEX20KE/AND8.dsn 515432445 384 ./lib/APEX20KE/APEX20KE_IO.dsn 2978737051 695 ./lib/APEX20KE/APEX20KE_IO_BIDIR.dsn 3470045294 409 ./lib/APEX20KE/APEX20KE_IO_INPUT.dsn 1322989332 541 ./lib/APEX20KE/APEX20KE_IO_OUTPUT.dsn 1278311935 583 ./lib/APEX20KE/APEX20KE_LCELL.dsn 517750719 2286 ./lib/APEX20KE/APEX20KE_LCELL_ARITHMETIC.dsn 748426877 920 ./lib/APEX20KE/APEX20KE_LCELL_ARITHMETIC_COUT.dsn 947070204 3340 ./lib/APEX20KE/APEX20KE_LCELL_ARITHMETIC_DFFE.dsn 1713617864 3679 ./lib/APEX20KE/APEX20KE_LCELL_COUNTER.dsn 1145842590 2598 ./lib/APEX20KE/APEX20KE_LCELL_COUNTER_COUT.dsn 4046169451 1249 ./lib/APEX20KE/APEX20KE_LCELL_COUNTER_DFFE.dsn 560986669 3246 ./lib/APEX20KE/APEX20KE_LCELL_COUNTER_PLAIN.dsn 1260077094 2554 ./lib/APEX20KE/APEX20KE_LCELL_NORMAL.dsn 2849116948 4088 ./lib/APEX20KE/APEX20KE_LCELL_NORMAL_DFFE.dsn 2071016692 584 ./lib/APEX20KE/A_21MUX.dsn 3700703349 1104 ./lib/APEX20KE/BAND12.dsn 3727113951 501 ./lib/APEX20KE/BAND2.dsn 1291026184 562 ./lib/APEX20KE/BAND3.dsn 156692510 619 ./lib/APEX20KE/BAND4.dsn 2285224213 741 ./lib/APEX20KE/BAND6.dsn 4025240283 859 ./lib/APEX20KE/BAND8.dsn 3067550435 1109 ./lib/APEX20KE/BNAND12.dsn 2406830873 506 ./lib/APEX20KE/BNAND2.dsn 1718999323 567 ./lib/APEX20KE/BNAND3.dsn 2728536247 624 ./lib/APEX20KE/BNAND4.dsn 2974279760 746 ./lib/APEX20KE/BNAND6.dsn 425812799 864 ./lib/APEX20KE/BNAND8.dsn 469201670 1056 ./lib/APEX20KE/BNOR12.dsn 1304296490 493 ./lib/APEX20KE/BNOR2.dsn 499053819 550 ./lib/APEX20KE/BNOR3.dsn 2399457946 603 ./lib/APEX20KE/BNOR4.dsn 2648273091 717 ./lib/APEX20KE/BNOR6.dsn 144733506 827 ./lib/APEX20KE/BNOR8.dsn 504537258 1059 ./lib/APEX20KE/BOR12.dsn 1582816772 496 ./lib/APEX20KE/BOR2.dsn 341101582 553 ./lib/APEX20KE/BOR3.dsn 210606654 606 ./lib/APEX20KE/BOR4.dsn 670403364 720 ./lib/APEX20KE/BOR6.dsn 2443194672 830 ./lib/APEX20KE/BOR8.dsn 2359652201 439 ./lib/APEX20KE/CARRY.dsn 1310319293 441 ./lib/APEX20KE/CASCADE.dsn 2782093856 712 ./lib/APEX20KE/DFF.dsn 1574471750 646 ./lib/APEX20KE/DFFC.dsn 3849249646 657 ./lib/APEX20KE/DFFE.dsn 3047036011 542 ./lib/APEX20KE/DFFS.dsn 1543897020 440 ./lib/APEX20KE/GLOBAL.dsn 624754058 458 ./lib/APEX20KE/INV.dsn 2935281014 583 ./lib/APEX20KE/LATCH.dsn 2040733015 629 ./lib/APEX20KE/LATCH_CLRN.dsn 2352299802 804 ./lib/APEX20KE/LATCH_CLRN_MACRO.dsn 221043578 719 ./lib/APEX20KE/LATCH_CLRN_PRN.dsn 3023269900 992 ./lib/APEX20KE/LATCH_CLRN_PRN_MACRO.dsn 3744912155 636 ./lib/APEX20KE/LATCH_PRN.dsn 1883048029 795 ./lib/APEX20KE/LATCH_PRN_MACRO.dsn 593413828 452 ./lib/APEX20KE/LCELL.dsn 4027071151 433 ./lib/APEX20KE/LUT.dsn 1261245045 367 ./lib/APEX20KE/LUT_CARRY.dsn 963794537 365 ./lib/APEX20KE/LUT_PAD.dsn 4188051781 1060 ./lib/APEX20KE/NAND12.dsn 3628126478 497 ./lib/APEX20KE/NAND2.dsn 2431501751 554 ./lib/APEX20KE/NAND3.dsn 549140926 607 ./lib/APEX20KE/NAND4.dsn 1416970902 721 ./lib/APEX20KE/NAND6.dsn 1640323169 831 ./lib/APEX20KE/NAND8.dsn 1138342696 1103 ./lib/APEX20KE/NOR12.dsn 2386721796 500 ./lib/APEX20KE/NOR2.dsn 1382186427 561 ./lib/APEX20KE/NOR3.dsn 802373544 618 ./lib/APEX20KE/NOR4.dsn 3672500478 740 ./lib/APEX20KE/NOR6.dsn 3966280673 858 ./lib/APEX20KE/NOR8.dsn 2609554711 500 ./lib/APEX20KE/OPNDRN.dsn 1026838949 1106 ./lib/APEX20KE/OR12.dsn 1469137641 503 ./lib/APEX20KE/OR2.dsn 391701796 508 ./lib/APEX20KE/OR2_CASC.dsn 1938988204 564 ./lib/APEX20KE/OR3.dsn 2453734472 621 ./lib/APEX20KE/OR4.dsn 1017788591 743 ./lib/APEX20KE/OR6.dsn 4181363264 861 ./lib/APEX20KE/OR8.dsn 1539953390 468 ./lib/APEX20KE/RSLATCH.dsn 862225983 534 ./lib/APEX20KE/RSLATCH_MACRO.dsn 2098776267 438 ./lib/APEX20KE/SOFT.dsn 2481126481 488 ./lib/APEX20KE/TRIBUF.dsn 3549263597 497 ./lib/APEX20KE/XNOR2.dsn 647145909 492 ./lib/APEX20KE/XOR2.dsn 443547243 2978 ./lib/FLEX10K.lgc 3332907985 9507 ./lib/FLEX10K.lmf 2136981568 3895 ./lib/FLEX10K.pts 3991989212 1089 ./lib/FLEX10K/AND12.dsn 2614403612 494 ./lib/FLEX10K/AND2.dsn 2428580344 499 ./lib/FLEX10K/AND2_CASC.dsn 4276968873 554 ./lib/FLEX10K/AND3.dsn 3334004811 610 ./lib/FLEX10K/AND4.dsn 1891642409 730 ./lib/FLEX10K/AND6.dsn 4052158143 846 ./lib/FLEX10K/AND8.dsn 2071016692 584 ./lib/FLEX10K/A_21MUX.dsn 1682911778 1138 ./lib/FLEX10K/BAND12.dsn 3502847553 503 ./lib/FLEX10K/BAND2.dsn 3957879868 567 ./lib/FLEX10K/BAND3.dsn 2488579765 627 ./lib/FLEX10K/BAND4.dsn 2399629557 755 ./lib/FLEX10K/BAND6.dsn 3064834537 879 ./lib/FLEX10K/BAND8.dsn 2611079823 1143 ./lib/FLEX10K/BNAND12.dsn 1702983346 508 ./lib/FLEX10K/BNAND2.dsn 2404425965 572 ./lib/FLEX10K/BNAND3.dsn 1005304560 632 ./lib/FLEX10K/BNAND4.dsn 3334341209 760 ./lib/FLEX10K/BNAND6.dsn 408912566 884 ./lib/FLEX10K/BNAND8.dsn 218215056 1090 ./lib/FLEX10K/BNOR12.dsn 311808201 495 ./lib/FLEX10K/BNOR2.dsn 34198489 555 ./lib/FLEX10K/BNOR3.dsn 2673258639 611 ./lib/FLEX10K/BNOR4.dsn 556769486 731 ./lib/FLEX10K/BNOR6.dsn 1438655459 847 ./lib/FLEX10K/BNOR8.dsn 2455863275 1093 ./lib/FLEX10K/BOR12.dsn 1744195806 498 ./lib/FLEX10K/BOR2.dsn 3792844621 558 ./lib/FLEX10K/BOR3.dsn 1516488818 614 ./lib/FLEX10K/BOR4.dsn 4166837807 734 ./lib/FLEX10K/BOR6.dsn 3094710802 850 ./lib/FLEX10K/BOR8.dsn 1033489297 473 ./lib/FLEX10K/CARRY.dsn 2893519168 475 ./lib/FLEX10K/CASCADE.dsn 137249032 773 ./lib/FLEX10K/DFF.dsn 669141300 977 ./lib/FLEX10K/DFFE.dsn 331762372 747 ./lib/FLEX10K/DFFE_AL.dsn 3895583495 803 ./lib/FLEX10K/DFFE_AL_AC.dsn 3537317008 730 ./lib/FLEX10K/DFFE_AL_AC_MACRO.dsn 2556644640 654 ./lib/FLEX10K/DFFE_AL_MACRO.dsn 4122381911 489 ./lib/FLEX10K/EXP.dsn 3127729686 474 ./lib/FLEX10K/GLOBAL.dsn 624754058 458 ./lib/FLEX10K/INV.dsn 2387708122 622 ./lib/FLEX10K/LATCH.dsn 2040733015 629 ./lib/FLEX10K/LATCH_CLRN.dsn 2352299802 804 ./lib/FLEX10K/LATCH_CLRN_MACRO.dsn 221043578 719 ./lib/FLEX10K/LATCH_CLRN_PRN.dsn 3023269900 992 ./lib/FLEX10K/LATCH_CLRN_PRN_MACRO.dsn 3744912155 636 ./lib/FLEX10K/LATCH_PRN.dsn 1883048029 795 ./lib/FLEX10K/LATCH_PRN_MACRO.dsn 636974935 473 ./lib/FLEX10K/LCELL.dsn 3936580150 468 ./lib/FLEX10K/LUT.dsn 1261245045 367 ./lib/FLEX10K/LUT_CARRY.dsn 963794537 365 ./lib/FLEX10K/LUT_PAD.dsn 1367927302 1094 ./lib/FLEX10K/NAND12.dsn 1401219070 499 ./lib/FLEX10K/NAND2.dsn 3074203891 559 ./lib/FLEX10K/NAND3.dsn 2370929221 615 ./lib/FLEX10K/NAND4.dsn 1308344962 735 ./lib/FLEX10K/NAND6.dsn 1108197350 851 ./lib/FLEX10K/NAND8.dsn 3180098406 1137 ./lib/FLEX10K/NOR12.dsn 1980839817 502 ./lib/FLEX10K/NOR2.dsn 3597054265 566 ./lib/FLEX10K/NOR3.dsn 3450359020 626 ./lib/FLEX10K/NOR4.dsn 1002590639 754 ./lib/FLEX10K/NOR6.dsn 1568325199 878 ./lib/FLEX10K/NOR8.dsn 2609554711 500 ./lib/FLEX10K/OPNDRN.dsn 4140446473 1140 ./lib/FLEX10K/OR12.dsn 893445199 505 ./lib/FLEX10K/OR2.dsn 47889547 510 ./lib/FLEX10K/OR2_CASC.dsn 1459696631 569 ./lib/FLEX10K/OR3.dsn 723633342 629 ./lib/FLEX10K/OR4.dsn 336838891 757 ./lib/FLEX10K/OR6.dsn 2611579767 881 ./lib/FLEX10K/OR8.dsn 1539953390 468 ./lib/FLEX10K/RSLATCH.dsn 862225983 534 ./lib/FLEX10K/RSLATCH_MACRO.dsn 3904872924 472 ./lib/FLEX10K/SOFT.dsn 3692744692 826 ./lib/FLEX10K/TFF.dsn 2981030700 1024 ./lib/FLEX10K/TFFE.dsn 3355746828 532 ./lib/FLEX10K/TRIBUF.dsn 1669725497 499 ./lib/FLEX10K/XNOR2.dsn 361187880 494 ./lib/FLEX10K/XOR2.dsn 1464874569 2979 ./lib/FLEX10KA.lgc 4072286454 9509 ./lib/FLEX10KA.lmf 1346512991 4546 ./lib/FLEX10KA.pts 3238946629 2979 ./lib/FLEX10KB.lgc 1534669871 9509 ./lib/FLEX10KB.lmf 3683179421 617 ./lib/FLEX10KB.pts 2605062126 2979 ./lib/FLEX10KE.lgc 1803492253 9509 ./lib/FLEX10KE.lmf 3025390335 2834 ./lib/FLEX10KE.pts 3282421323 5311 ./lib/FLEX6000.lgc 3031011488 9247 ./lib/FLEX6000.lmf 1198286510 2365 ./lib/FLEX6000.pts 2511340065 1055 ./lib/FLEX6000/AND12.dsn 4243917302 492 ./lib/FLEX6000/AND2.dsn 3782124243 497 ./lib/FLEX6000/AND2_CASC.dsn 2641467236 549 ./lib/FLEX6000/AND3.dsn 74266052 602 ./lib/FLEX6000/AND4.dsn 4036778008 716 ./lib/FLEX6000/AND6.dsn 2932898634 826 ./lib/FLEX6000/AND8.dsn 2071016692 584 ./lib/FLEX6000/A_21MUX.dsn 3700703349 1104 ./lib/FLEX6000/BAND12.dsn 3727113951 501 ./lib/FLEX6000/BAND2.dsn 1291026184 562 ./lib/FLEX6000/BAND3.dsn 156692510 619 ./lib/FLEX6000/BAND4.dsn 2285224213 741 ./lib/FLEX6000/BAND6.dsn 4025240283 859 ./lib/FLEX6000/BAND8.dsn 3067550435 1109 ./lib/FLEX6000/BNAND12.dsn 2406830873 506 ./lib/FLEX6000/BNAND2.dsn 1718999323 567 ./lib/FLEX6000/BNAND3.dsn 2728536247 624 ./lib/FLEX6000/BNAND4.dsn 2974279760 746 ./lib/FLEX6000/BNAND6.dsn 425812799 864 ./lib/FLEX6000/BNAND8.dsn 469201670 1056 ./lib/FLEX6000/BNOR12.dsn 1304296490 493 ./lib/FLEX6000/BNOR2.dsn 499053819 550 ./lib/FLEX6000/BNOR3.dsn 2399457946 603 ./lib/FLEX6000/BNOR4.dsn 2648273091 717 ./lib/FLEX6000/BNOR6.dsn 144733506 827 ./lib/FLEX6000/BNOR8.dsn 504537258 1059 ./lib/FLEX6000/BOR12.dsn 1582816772 496 ./lib/FLEX6000/BOR2.dsn 341101582 553 ./lib/FLEX6000/BOR3.dsn 210606654 606 ./lib/FLEX6000/BOR4.dsn 670403364 720 ./lib/FLEX6000/BOR6.dsn 2443194672 830 ./lib/FLEX6000/BOR8.dsn 2359652201 439 ./lib/FLEX6000/CARRY.dsn 1310319293 441 ./lib/FLEX6000/CASCADE.dsn 2539259067 712 ./lib/FLEX6000/DFF.dsn 1574471750 646 ./lib/FLEX6000/DFFC.dsn 3047036011 542 ./lib/FLEX6000/DFFS.dsn 1543897020 440 ./lib/FLEX6000/GLOBAL.dsn 624754058 458 ./lib/FLEX6000/INV.dsn 2935281014 583 ./lib/FLEX6000/LATCH.dsn 2040733015 629 ./lib/FLEX6000/LATCH_CLRN.dsn 2352299802 804 ./lib/FLEX6000/LATCH_CLRN_MACRO.dsn 221043578 719 ./lib/FLEX6000/LATCH_CLRN_PRN.dsn 3023269900 992 ./lib/FLEX6000/LATCH_CLRN_PRN_MACRO.dsn 3744912155 636 ./lib/FLEX6000/LATCH_PRN.dsn 1883048029 795 ./lib/FLEX6000/LATCH_PRN_MACRO.dsn 593413828 452 ./lib/FLEX6000/LCELL.dsn 4027071151 433 ./lib/FLEX6000/LUT.dsn 1261245045 367 ./lib/FLEX6000/LUT_CARRY.dsn 963794537 365 ./lib/FLEX6000/LUT_PAD.dsn 4188051781 1060 ./lib/FLEX6000/NAND12.dsn 3628126478 497 ./lib/FLEX6000/NAND2.dsn 2431501751 554 ./lib/FLEX6000/NAND3.dsn 549140926 607 ./lib/FLEX6000/NAND4.dsn 1416970902 721 ./lib/FLEX6000/NAND6.dsn 1640323169 831 ./lib/FLEX6000/NAND8.dsn 1138342696 1103 ./lib/FLEX6000/NOR12.dsn 2386721796 500 ./lib/FLEX6000/NOR2.dsn 1382186427 561 ./lib/FLEX6000/NOR3.dsn 802373544 618 ./lib/FLEX6000/NOR4.dsn 3672500478 740 ./lib/FLEX6000/NOR6.dsn 3966280673 858 ./lib/FLEX6000/NOR8.dsn 2609554711 500 ./lib/FLEX6000/OPNDRN.dsn 1026838949 1106 ./lib/FLEX6000/OR12.dsn 1469137641 503 ./lib/FLEX6000/OR2.dsn 391701796 508 ./lib/FLEX6000/OR2_CASC.dsn 1938988204 564 ./lib/FLEX6000/OR3.dsn 2453734472 621 ./lib/FLEX6000/OR4.dsn 1017788591 743 ./lib/FLEX6000/OR6.dsn 4181363264 861 ./lib/FLEX6000/OR8.dsn 1539953390 468 ./lib/FLEX6000/RSLATCH.dsn 862225983 534 ./lib/FLEX6000/RSLATCH_MACRO.dsn 2098776267 438 ./lib/FLEX6000/SOFT.dsn 2481126481 488 ./lib/FLEX6000/TRIBUF.dsn 3549263597 497 ./lib/FLEX6000/XNOR2.dsn 647145909 492 ./lib/FLEX6000/XOR2.dsn 3603954897 2979 ./lib/FLEX8000.lgc 1855032309 9509 ./lib/FLEX8000.lmf 2452077068 5246 ./lib/FLEX8000.pts 3991989212 1089 ./lib/FLEX8000/AND12.dsn 2614403612 494 ./lib/FLEX8000/AND2.dsn 2428580344 499 ./lib/FLEX8000/AND2_CASC.dsn 4276968873 554 ./lib/FLEX8000/AND3.dsn 3334004811 610 ./lib/FLEX8000/AND4.dsn 1891642409 730 ./lib/FLEX8000/AND6.dsn 4052158143 846 ./lib/FLEX8000/AND8.dsn 2071016692 584 ./lib/FLEX8000/A_21MUX.dsn 1682911778 1138 ./lib/FLEX8000/BAND12.dsn 3502847553 503 ./lib/FLEX8000/BAND2.dsn 3957879868 567 ./lib/FLEX8000/BAND3.dsn 2488579765 627 ./lib/FLEX8000/BAND4.dsn 2399629557 755 ./lib/FLEX8000/BAND6.dsn 3064834537 879 ./lib/FLEX8000/BAND8.dsn 2611079823 1143 ./lib/FLEX8000/BNAND12.dsn 1702983346 508 ./lib/FLEX8000/BNAND2.dsn 2404425965 572 ./lib/FLEX8000/BNAND3.dsn 1005304560 632 ./lib/FLEX8000/BNAND4.dsn 3334341209 760 ./lib/FLEX8000/BNAND6.dsn 408912566 884 ./lib/FLEX8000/BNAND8.dsn 218215056 1090 ./lib/FLEX8000/BNOR12.dsn 311808201 495 ./lib/FLEX8000/BNOR2.dsn 34198489 555 ./lib/FLEX8000/BNOR3.dsn 2673258639 611 ./lib/FLEX8000/BNOR4.dsn 556769486 731 ./lib/FLEX8000/BNOR6.dsn 1438655459 847 ./lib/FLEX8000/BNOR8.dsn 2455863275 1093 ./lib/FLEX8000/BOR12.dsn 1744195806 498 ./lib/FLEX8000/BOR2.dsn 3792844621 558 ./lib/FLEX8000/BOR3.dsn 1516488818 614 ./lib/FLEX8000/BOR4.dsn 4166837807 734 ./lib/FLEX8000/BOR6.dsn 3094710802 850 ./lib/FLEX8000/BOR8.dsn 1033489297 473 ./lib/FLEX8000/CARRY.dsn 2893519168 475 ./lib/FLEX8000/CASCADE.dsn 137249032 773 ./lib/FLEX8000/DFF.dsn 669141300 977 ./lib/FLEX8000/DFFE.dsn 331762372 747 ./lib/FLEX8000/DFFE_AL.dsn 3895583495 803 ./lib/FLEX8000/DFFE_AL_AC.dsn 3537317008 730 ./lib/FLEX8000/DFFE_AL_AC_MACRO.dsn 2556644640 654 ./lib/FLEX8000/DFFE_AL_MACRO.dsn 4122381911 489 ./lib/FLEX8000/EXP.dsn 3127729686 474 ./lib/FLEX8000/GLOBAL.dsn 624754058 458 ./lib/FLEX8000/INV.dsn 2387708122 622 ./lib/FLEX8000/LATCH.dsn 2040733015 629 ./lib/FLEX8000/LATCH_CLRN.dsn 2352299802 804 ./lib/FLEX8000/LATCH_CLRN_MACRO.dsn 221043578 719 ./lib/FLEX8000/LATCH_CLRN_PRN.dsn 3023269900 992 ./lib/FLEX8000/LATCH_CLRN_PRN_MACRO.dsn 3744912155 636 ./lib/FLEX8000/LATCH_PRN.dsn 1883048029 795 ./lib/FLEX8000/LATCH_PRN_MACRO.dsn 636974935 473 ./lib/FLEX8000/LCELL.dsn 3936580150 468 ./lib/FLEX8000/LUT.dsn 1261245045 367 ./lib/FLEX8000/LUT_CARRY.dsn 963794537 365 ./lib/FLEX8000/LUT_PAD.dsn 1367927302 1094 ./lib/FLEX8000/NAND12.dsn 1401219070 499 ./lib/FLEX8000/NAND2.dsn 3074203891 559 ./lib/FLEX8000/NAND3.dsn 2370929221 615 ./lib/FLEX8000/NAND4.dsn 1308344962 735 ./lib/FLEX8000/NAND6.dsn 1108197350 851 ./lib/FLEX8000/NAND8.dsn 3180098406 1137 ./lib/FLEX8000/NOR12.dsn 1980839817 502 ./lib/FLEX8000/NOR2.dsn 3597054265 566 ./lib/FLEX8000/NOR3.dsn 3450359020 626 ./lib/FLEX8000/NOR4.dsn 1002590639 754 ./lib/FLEX8000/NOR6.dsn 1568325199 878 ./lib/FLEX8000/NOR8.dsn 2609554711 500 ./lib/FLEX8000/OPNDRN.dsn 4140446473 1140 ./lib/FLEX8000/OR12.dsn 893445199 505 ./lib/FLEX8000/OR2.dsn 47889547 510 ./lib/FLEX8000/OR2_CASC.dsn 1459696631 569 ./lib/FLEX8000/OR3.dsn 723633342 629 ./lib/FLEX8000/OR4.dsn 336838891 757 ./lib/FLEX8000/OR6.dsn 2611579767 881 ./lib/FLEX8000/OR8.dsn 1539953390 468 ./lib/FLEX8000/RSLATCH.dsn 862225983 534 ./lib/FLEX8000/RSLATCH_MACRO.dsn 3904872924 472 ./lib/FLEX8000/SOFT.dsn 3692744692 826 ./lib/FLEX8000/TFF.dsn 2981030700 1024 ./lib/FLEX8000/TFFE.dsn 3355746828 532 ./lib/FLEX8000/TRIBUF.dsn 1669725497 499 ./lib/FLEX8000/XNOR2.dsn 361187880 494 ./lib/FLEX8000/XOR2.dsn 246223908 3165 ./lib/LPM.lgc 2004455049 2591 ./lib/MAX3000A.lgc 3442442358 8791 ./lib/MAX3000A.lmf 1986766792 1522 ./lib/MAX3000A.pts 2411692597 135335 ./lib/MAX7000.abo 2315210843 2590 ./lib/MAX7000.lgc 899312220 8789 ./lib/MAX7000.lmf 308516742 3720 ./lib/MAX7000.pts 3991989212 1089 ./lib/MAX7000/AND12.dsn 2614403612 494 ./lib/MAX7000/AND2.dsn 2462358790 553 ./lib/MAX7000/AND3.dsn 3334004811 610 ./lib/MAX7000/AND4.dsn 1891642409 730 ./lib/MAX7000/AND6.dsn 4052158143 846 ./lib/MAX7000/AND8.dsn 1682911778 1138 ./lib/MAX7000/BAND12.dsn 3502847553 503 ./lib/MAX7000/BAND2.dsn 3950414785 566 ./lib/MAX7000/BAND3.dsn 2488579765 627 ./lib/MAX7000/BAND4.dsn 2399629557 755 ./lib/MAX7000/BAND6.dsn 3064834537 879 ./lib/MAX7000/BAND8.dsn 2611079823 1143 ./lib/MAX7000/BNAND12.dsn 1702983346 508 ./lib/MAX7000/BNAND2.dsn 1603074785 571 ./lib/MAX7000/BNAND3.dsn 1005304560 632 ./lib/MAX7000/BNAND4.dsn 3334341209 760 ./lib/MAX7000/BNAND6.dsn 408912566 884 ./lib/MAX7000/BNAND8.dsn 218215056 1090 ./lib/MAX7000/BNOR12.dsn 311808201 495 ./lib/MAX7000/BNOR2.dsn 4099811895 554 ./lib/MAX7000/BNOR3.dsn 2673258639 611 ./lib/MAX7000/BNOR4.dsn 556769486 731 ./lib/MAX7000/BNOR6.dsn 1438655459 847 ./lib/MAX7000/BNOR8.dsn 2455863275 1093 ./lib/MAX7000/BOR12.dsn 1744195806 498 ./lib/MAX7000/BOR2.dsn 3773451074 557 ./lib/MAX7000/BOR3.dsn 1516488818 614 ./lib/MAX7000/BOR4.dsn 4166837807 734 ./lib/MAX7000/BOR6.dsn 3094710802 850 ./lib/MAX7000/BOR8.dsn 1540547673 500 ./lib/MAX7000/CARRY.dsn 2893519168 475 ./lib/MAX7000/CASCADE.dsn 1039145369 852 ./lib/MAX7000/DFF.dsn 126138212 1066 ./lib/MAX7000/DFFE.dsn 4122381911 489 ./lib/MAX7000/EXP.dsn 3127729686 474 ./lib/MAX7000/GLOBAL.dsn 624754058 458 ./lib/MAX7000/INV.dsn 2236555738 666 ./lib/MAX7000/LATCH.dsn 604090213 631 ./lib/MAX7000/LATCH_CLRN.dsn 2352299802 804 ./lib/MAX7000/LATCH_CLRN_MACRO.dsn 203152159 721 ./lib/MAX7000/LATCH_CLRN_PRN.dsn 3023269900 992 ./lib/MAX7000/LATCH_CLRN_PRN_MACRO.dsn 458569298 638 ./lib/MAX7000/LATCH_PRN.dsn 1883048029 795 ./lib/MAX7000/LATCH_PRN_MACRO.dsn 285467068 487 ./lib/MAX7000/LCELL.dsn 1367927302 1094 ./lib/MAX7000/NAND12.dsn 1401219070 499 ./lib/MAX7000/NAND2.dsn 1729650257 558 ./lib/MAX7000/NAND3.dsn 2370929221 615 ./lib/MAX7000/NAND4.dsn 1308344962 735 ./lib/MAX7000/NAND6.dsn 1108197350 851 ./lib/MAX7000/NAND8.dsn 3180098406 1137 ./lib/MAX7000/NOR12.dsn 1980839817 502 ./lib/MAX7000/NOR2.dsn 2592014384 565 ./lib/MAX7000/NOR3.dsn 3450359020 626 ./lib/MAX7000/NOR4.dsn 1002590639 754 ./lib/MAX7000/NOR6.dsn 1568325199 878 ./lib/MAX7000/NOR8.dsn 2609554711 500 ./lib/MAX7000/OPNDRN.dsn 4140446473 1140 ./lib/MAX7000/OR12.dsn 893445199 505 ./lib/MAX7000/OR2.dsn 2264051164 568 ./lib/MAX7000/OR3.dsn 723633342 629 ./lib/MAX7000/OR4.dsn 336838891 757 ./lib/MAX7000/OR6.dsn 2611579767 881 ./lib/MAX7000/OR8.dsn 379201069 470 ./lib/MAX7000/RSLATCH.dsn 862225983 534 ./lib/MAX7000/RSLATCH_MACRO.dsn 3904872924 472 ./lib/MAX7000/SOFT.dsn 1686440857 904 ./lib/MAX7000/TFF.dsn 1165014468 1112 ./lib/MAX7000/TFFE.dsn 3050352387 576 ./lib/MAX7000/TRIBUF.dsn 1669725497 499 ./lib/MAX7000/XNOR2.dsn 361187880 494 ./lib/MAX7000/XOR2.dsn 842786617 2591 ./lib/MAX7000A.lgc 3947701971 8791 ./lib/MAX7000A.lmf 1721507898 2084 ./lib/MAX7000A.pts 3177129467 2592 ./lib/MAX7000AE.lgc 3278463389 8793 ./lib/MAX7000AE.lmf 3567807097 3151 ./lib/MAX7000AE.pts 4221460671 2591 ./lib/MAX7000E.lgc 811715495 8791 ./lib/MAX7000E.lmf 1918380175 3236 ./lib/MAX7000E.pts 2101091387 2591 ./lib/MAX7000S.lgc 3878404960 8791 ./lib/MAX7000S.lmf 732232404 4696 ./lib/MAX7000S.pts 2411692597 135335 ./lib/MAX9000.abo 3494406542 2590 ./lib/MAX9000.lgc 1049075883 8789 ./lib/MAX9000.lmf 78859243 4293 ./lib/MAX9000.pts 3991989212 1089 ./lib/MAX9000/AND12.dsn 2614403612 494 ./lib/MAX9000/AND2.dsn 2462358790 553 ./lib/MAX9000/AND3.dsn 3334004811 610 ./lib/MAX9000/AND4.dsn 1891642409 730 ./lib/MAX9000/AND6.dsn 4052158143 846 ./lib/MAX9000/AND8.dsn 1682911778 1138 ./lib/MAX9000/BAND12.dsn 3502847553 503 ./lib/MAX9000/BAND2.dsn 3950414785 566 ./lib/MAX9000/BAND3.dsn 2488579765 627 ./lib/MAX9000/BAND4.dsn 2399629557 755 ./lib/MAX9000/BAND6.dsn 3064834537 879 ./lib/MAX9000/BAND8.dsn 2611079823 1143 ./lib/MAX9000/BNAND12.dsn 1702983346 508 ./lib/MAX9000/BNAND2.dsn 1603074785 571 ./lib/MAX9000/BNAND3.dsn 1005304560 632 ./lib/MAX9000/BNAND4.dsn 3334341209 760 ./lib/MAX9000/BNAND6.dsn 408912566 884 ./lib/MAX9000/BNAND8.dsn 218215056 1090 ./lib/MAX9000/BNOR12.dsn 311808201 495 ./lib/MAX9000/BNOR2.dsn 4099811895 554 ./lib/MAX9000/BNOR3.dsn 2673258639 611 ./lib/MAX9000/BNOR4.dsn 556769486 731 ./lib/MAX9000/BNOR6.dsn 1438655459 847 ./lib/MAX9000/BNOR8.dsn 2455863275 1093 ./lib/MAX9000/BOR12.dsn 1744195806 498 ./lib/MAX9000/BOR2.dsn 3773451074 557 ./lib/MAX9000/BOR3.dsn 1516488818 614 ./lib/MAX9000/BOR4.dsn 4166837807 734 ./lib/MAX9000/BOR6.dsn 3094710802 850 ./lib/MAX9000/BOR8.dsn 1540547673 500 ./lib/MAX9000/CARRY.dsn 2893519168 475 ./lib/MAX9000/CASCADE.dsn 1039145369 852 ./lib/MAX9000/DFF.dsn 126138212 1066 ./lib/MAX9000/DFFE.dsn 4122381911 489 ./lib/MAX9000/EXP.dsn 3127729686 474 ./lib/MAX9000/GLOBAL.dsn 624754058 458 ./lib/MAX9000/INV.dsn 2236555738 666 ./lib/MAX9000/LATCH.dsn 604090213 631 ./lib/MAX9000/LATCH_CLRN.dsn 2352299802 804 ./lib/MAX9000/LATCH_CLRN_MACRO.dsn 203152159 721 ./lib/MAX9000/LATCH_CLRN_PRN.dsn 3023269900 992 ./lib/MAX9000/LATCH_CLRN_PRN_MACRO.dsn 458569298 638 ./lib/MAX9000/LATCH_PRN.dsn 1883048029 795 ./lib/MAX9000/LATCH_PRN_MACRO.dsn 285467068 487 ./lib/MAX9000/LCELL.dsn 1367927302 1094 ./lib/MAX9000/NAND12.dsn 1401219070 499 ./lib/MAX9000/NAND2.dsn 1729650257 558 ./lib/MAX9000/NAND3.dsn 2370929221 615 ./lib/MAX9000/NAND4.dsn 1308344962 735 ./lib/MAX9000/NAND6.dsn 1108197350 851 ./lib/MAX9000/NAND8.dsn 3180098406 1137 ./lib/MAX9000/NOR12.dsn 1980839817 502 ./lib/MAX9000/NOR2.dsn 2592014384 565 ./lib/MAX9000/NOR3.dsn 3450359020 626 ./lib/MAX9000/NOR4.dsn 1002590639 754 ./lib/MAX9000/NOR6.dsn 1568325199 878 ./lib/MAX9000/NOR8.dsn 2609554711 500 ./lib/MAX9000/OPNDRN.dsn 4140446473 1140 ./lib/MAX9000/OR12.dsn 893445199 505 ./lib/MAX9000/OR2.dsn 2264051164 568 ./lib/MAX9000/OR3.dsn 723633342 629 ./lib/MAX9000/OR4.dsn 336838891 757 ./lib/MAX9000/OR6.dsn 2611579767 881 ./lib/MAX9000/OR8.dsn 379201069 470 ./lib/MAX9000/RSLATCH.dsn 862225983 534 ./lib/MAX9000/RSLATCH_MACRO.dsn 3904872924 472 ./lib/MAX9000/SOFT.dsn 1686440857 904 ./lib/MAX9000/TFF.dsn 1165014468 1112 ./lib/MAX9000/TFFE.dsn 3050352387 576 ./lib/MAX9000/TRIBUF.dsn 1669725497 499 ./lib/MAX9000/XNOR2.dsn 361187880 494 ./lib/MAX9000/XOR2.dsn 2751108605 3402 ./lib/admin/setup/.synopsys_vss.setup 2751108605 3402 ./lib/admin/setup/synopsys_vss.setup 3289349761 601330 ./lib/auxx/syn/dc.err 2127254040 77732 ./lib/auxx/syn/verilog.prims 1418899165 305641 ./lib/auxx/syn/vhdl.prims 129345668 4072 ./lib/gtech.lgc 1968934545 540 ./lib/gtech/GTECH_ADD_AB.dsn 343665914 745 ./lib/gtech/GTECH_ADD_ABC.dsn 2625008182 444 ./lib/gtech/GTECH_AND2.dsn 1735961636 481 ./lib/gtech/GTECH_AND3.dsn 1875378292 518 ./lib/gtech/GTECH_AND4.dsn 38428254 555 ./lib/gtech/GTECH_AND5.dsn 912771278 666 ./lib/gtech/GTECH_AND8.dsn 2115149081 471 ./lib/gtech/GTECH_AND_NOT.dsn 1534455564 527 ./lib/gtech/GTECH_AO21.dsn 2327869289 598 ./lib/gtech/GTECH_AO22.dsn 643128701 524 ./lib/gtech/GTECH_AOI21.dsn 1310093715 595 ./lib/gtech/GTECH_AOI22.dsn 2772898443 708 ./lib/gtech/GTECH_AOI222.dsn 2357451006 637 ./lib/gtech/GTECH_AOI2N2.dsn 3584863275 409 ./lib/gtech/GTECH_BUF.dsn 2638116965 270 ./lib/gtech/GTECH_DRIVER.dsn 2784830099 1005 ./lib/gtech/GTECH_FD1.dsn 491778438 3173 ./lib/gtech/GTECH_FD14.dsn 1231056946 6279 ./lib/gtech/GTECH_FD18.dsn 1008446627 1203 ./lib/gtech/GTECH_FD1S.dsn 776769893 1085 ./lib/gtech/GTECH_FD2.dsn 2964079813 3369 ./lib/gtech/GTECH_FD24.dsn 1448798506 6641 ./lib/gtech/GTECH_FD28.dsn 3307352846 1253 ./lib/gtech/GTECH_FD2S.dsn 3286382172 1170 ./lib/gtech/GTECH_FD3.dsn 339568370 3609 ./lib/gtech/GTECH_FD34.dsn 379527319 7123 ./lib/gtech/GTECH_FD38.dsn 3958445923 1368 ./lib/gtech/GTECH_FD3S.dsn 1758438931 1083 ./lib/gtech/GTECH_FD4.dsn 3964558320 3367 ./lib/gtech/GTECH_FD44.dsn 536469878 6632 ./lib/gtech/GTECH_FD48.dsn 3224359359 1281 ./lib/gtech/GTECH_FD4S.dsn 2694901645 297 ./lib/gtech/GTECH_FEED.dsn 3609866821 1261 ./lib/gtech/GTECH_FJK1.dsn 379206237 1445 ./lib/gtech/GTECH_FJK1S.dsn 724630429 1341 ./lib/gtech/GTECH_FJK2.dsn 3511426874 1525 ./lib/gtech/GTECH_FJK2S.dsn 440962609 1426 ./lib/gtech/GTECH_FJK3.dsn 4177089108 1610 ./lib/gtech/GTECH_FJK3S.dsn 2200851309 422 ./lib/gtech/GTECH_INBUF.dsn 401417060 660 ./lib/gtech/GTECH_INOUTBUF.dsn 2662565462 1004 ./lib/gtech/GTECH_LD1.dsn 987640764 1009 ./lib/gtech/GTECH_LD2.dsn 2238770339 955 ./lib/gtech/GTECH_LD2_1.dsn 3831895458 1084 ./lib/gtech/GTECH_LD3.dsn 2033391723 1089 ./lib/gtech/GTECH_LD4.dsn 2679913149 1011 ./lib/gtech/GTECH_LD4_1.dsn 3215070826 268 ./lib/gtech/GTECH_LOAD.dsn 448120748 1076 ./lib/gtech/GTECH_LSR0.dsn 1042141699 634 ./lib/gtech/GTECH_MAJ23.dsn 1255295747 637 ./lib/gtech/GTECH_MUX2.dsn 819710314 1119 ./lib/gtech/GTECH_MUX4.dsn 1064522114 2069 ./lib/gtech/GTECH_MUX8.dsn 332879319 646 ./lib/gtech/GTECH_MUXI2.dsn 1219150051 449 ./lib/gtech/GTECH_NAND2.dsn 1583105002 486 ./lib/gtech/GTECH_NAND3.dsn 3685351986 523 ./lib/gtech/GTECH_NAND4.dsn 1779031690 560 ./lib/gtech/GTECH_NAND5.dsn 2322053367 671 ./lib/gtech/GTECH_NAND8.dsn 998088684 452 ./lib/gtech/GTECH_NOR2.dsn 74127576 493 ./lib/gtech/GTECH_NOR3.dsn 2074475869 534 ./lib/gtech/GTECH_NOR4.dsn 3764500856 575 ./lib/gtech/GTECH_NOR5.dsn 1909709486 698 ./lib/gtech/GTECH_NOR8.dsn 620855464 413 ./lib/gtech/GTECH_NOT.dsn 1459274071 527 ./lib/gtech/GTECH_OA21.dsn 1655569676 610 ./lib/gtech/GTECH_OA22.dsn 490147531 532 ./lib/gtech/GTECH_OAI21.dsn 3915028657 615 ./lib/gtech/GTECH_OAI22.dsn 2180542994 641 ./lib/gtech/GTECH_OAI2N2.dsn 3030423216 284 ./lib/gtech/GTECH_ONE.dsn 3945152504 455 ./lib/gtech/GTECH_OR2.dsn 2159171324 496 ./lib/gtech/GTECH_OR3.dsn 2409550748 537 ./lib/gtech/GTECH_OR4.dsn 1757229900 578 ./lib/gtech/GTECH_OR5.dsn 3806296692 701 ./lib/gtech/GTECH_OR8.dsn 1765865542 474 ./lib/gtech/GTECH_OR_NOT.dsn 1906685305 571 ./lib/gtech/GTECH_OUTBUF.dsn 1561429583 555 ./lib/gtech/GTECH_TBUF.dsn 550249655 449 ./lib/gtech/GTECH_XNOR2.dsn 1896253524 486 ./lib/gtech/GTECH_XNOR3.dsn 526630643 523 ./lib/gtech/GTECH_XNOR4.dsn 1934696889 444 ./lib/gtech/GTECH_XOR2.dsn 4154934866 481 ./lib/gtech/GTECH_XOR3.dsn 3931680335 518 ./lib/gtech/GTECH_XOR4.dsn 1723238983 289 ./lib/gtech/GTECH_ZERO.dsn 1761384723 71840 ./lib/libraries/syn/generic.sdb 2216528303 335817 ./lib/libraries/syn/gtech.db 156065359 14049 ./lib/libraries/syn/standard.sldb 2239616009 2993 ./lib/man/cat1/fe_shell.1 2712170218 9185 ./lib/man/cat2/Tcl.2 2360351621 3963 ./lib/man/cat2/add_file.2 132526902 5032 ./lib/man/cat2/after.2 3745217435 2941 ./lib/man/cat2/alias.2 2895681133 2856 ./lib/man/cat2/analyze_file.2 4125149472 929 ./lib/man/cat2/append.2 39535954 1291 ./lib/man/cat2/apropos.2 3715627119 5963 ./lib/man/cat2/array.2 1998732795 963 ./lib/man/cat2/break.2 1161804756 1505 ./lib/man/cat2/catch.2 885228853 663 ./lib/man/cat2/cd.2 742730633 7545 ./lib/man/cat2/clock.2 1191958510 2252 ./lib/man/cat2/close.2 1872183264 492 ./lib/man/cat2/close_project.2 2360154418 923 ./lib/man/cat2/concat.2 3834915334 984 ./lib/man/cat2/continue.2 521068937 4990 ./lib/man/cat2/create_chip.2 418266997 879 ./lib/man/cat2/create_library.2 1475029466 1627 ./lib/man/cat2/create_project.2 1385370643 3934 ./lib/man/cat2/create_subpath.2 821120839 2125 ./lib/man/cat2/current_chip.2 182003346 7324 ./lib/man/cat2/define_proc_attributes.2 54172894 1901 ./lib/man/cat2/delete_chip.2 2069421712 977 ./lib/man/cat2/delete_library.2 1372604620 704 ./lib/man/cat2/delete_subpath.2 1519370268 1301 ./lib/man/cat2/echo.2 3870522323 634 ./lib/man/cat2/eof.2 1407296984 2201 ./lib/man/cat2/error.2 1587305835 1741 ./lib/man/cat2/error_info.2 3874144729 825 ./lib/man/cat2/eval.2 2328108379 20963 ./lib/man/cat2/exec.2 1737497618 1411 ./lib/man/cat2/exit.2 2175752109 4163 ./lib/man/cat2/export_chip.2 2662146843 1517 ./lib/man/cat2/export_constraint.2 3870189000 13413 ./lib/man/cat2/expr.2 3717667202 969 ./lib/man/cat2/fblocked.2 890182868 9803 ./lib/man/cat2/fconfigure.2 1055523608 12862 ./lib/man/cat2/file.2 2638117806 5694 ./lib/man/cat2/fileevent.2 2780928546 1168 ./lib/man/cat2/flush.2 2695757377 1591 ./lib/man/cat2/for.2 2005407432 3263 ./lib/man/cat2/foreach.2 812341558 9928 ./lib/man/cat2/format.2 190602805 1285 ./lib/man/cat2/get_cell.2 4141327067 1394 ./lib/man/cat2/get_chip.2 3558917171 791 ./lib/man/cat2/get_clock.2 1779177159 1012 ./lib/man/cat2/get_design.2 2177075735 1299 ./lib/man/cat2/get_device.2 3244506439 977 ./lib/man/cat2/get_file.2 1902005895 1201 ./lib/man/cat2/get_library.2 189052764 1043 ./lib/man/cat2/get_module.2 3953810455 1018 ./lib/man/cat2/get_net.2 2780154159 729 ./lib/man/cat2/get_pathgroup.2 642082009 1948 ./lib/man/cat2/get_pin.2 1755775243 1952 ./lib/man/cat2/get_port.2 4253453628 1032 ./lib/man/cat2/get_register.2 43620758 2074 ./lib/man/cat2/get_speed.2 3506809971 1228 ./lib/man/cat2/get_target.2 3632579568 1937 ./lib/man/cat2/gets.2 3608673107 3218 ./lib/man/cat2/glob.2 3444453218 896 ./lib/man/cat2/global.2 1644780069 2670 ./lib/man/cat2/help.2 3903400298 11111 ./lib/man/cat2/history.2 3352111101 1645 ./lib/man/cat2/if.2 1236909335 1138 ./lib/man/cat2/import_constraint.2 3964146391 975 ./lib/man/cat2/incr.2 713676226 8531 ./lib/man/cat2/info.2 2753741109 21642 ./lib/man/cat2/interp.2 575824942 839 ./lib/man/cat2/join.2 903386950 1196 ./lib/man/cat2/lappend.2 1930099603 1099 ./lib/man/cat2/lindex.2 2285805195 1106 ./lib/man/cat2/linsert.2 2875210632 1283 ./lib/man/cat2/list.2 1459373778 872 ./lib/man/cat2/list_chip.2 1631439128 2381 ./lib/man/cat2/list_design.2 2745441449 1365 ./lib/man/cat2/list_file.2 4183440763 825 ./lib/man/cat2/list_library.2 2973634828 3402 ./lib/man/cat2/list_message.2 607013045 1036 ./lib/man/cat2/list_status.2 3370368503 1538 ./lib/man/cat2/list_target.2 496499977 568 ./lib/man/cat2/llength.2 466294246 1448 ./lib/man/cat2/lrange.2 3662432000 1731 ./lib/man/cat2/lreplace.2 3380545771 1471 ./lib/man/cat2/lsearch.2 341310238 2099 ./lib/man/cat2/lsort.2 517296888 932 ./lib/man/cat2/man.2 3934709906 13246 ./lib/man/cat2/object.2 2283077401 12472 ./lib/man/cat2/open.2 225536232 1074 ./lib/man/cat2/open_project.2 15136026 1532 ./lib/man/cat2/optimize_chip.2 109745621 10939 ./lib/man/cat2/package.2 3873732956 4822 ./lib/man/cat2/parse_proc_arguments.2 1448048994 1047 ./lib/man/cat2/pid.2 3639925984 1246 ./lib/man/cat2/print_suppressed_messages.2 3955809258 3511 ./lib/man/cat2/printvar.2 2928675939 3183 ./lib/man/cat2/proc.2 2438910013 3099 ./lib/man/cat2/puts.2 3286273830 494 ./lib/man/cat2/pwd.2 291594360 956 ./lib/man/cat2/quit.2 2671389899 1753 ./lib/man/cat2/read.2 3052369572 5418 ./lib/man/cat2/redirect.2 2015714805 7139 ./lib/man/cat2/regexp.2 2356515467 3286 ./lib/man/cat2/regsub.2 3617982961 1982 ./lib/man/cat2/remove_file.2 1125308732 804 ./lib/man/cat2/report_chip.2 1488453543 1463 ./lib/man/cat2/report_file.2 2844423114 1460 ./lib/man/cat2/report_library.2 42833358 1160 ./lib/man/cat2/report_project.2 3379728475 4300 ./lib/man/cat2/report_timing.2 2625712890 3464 ./lib/man/cat2/return.2 1428485148 6552 ./lib/man/cat2/scan.2 2021113072 2573 ./lib/man/cat2/script_chip.2 1846312686 2184 ./lib/man/cat2/seek.2 1668699395 1402 ./lib/man/cat2/set.2 776662120 1943 ./lib/man/cat2/set_cell_max_fanout.2 4121768888 1094 ./lib/man/cat2/set_chip_advanced_opt.2 3563858849 2595 ./lib/man/cat2/set_chip_constraint_driven.2 2992702712 1713 ./lib/man/cat2/set_chip_effort.2 3100512707 1366 ./lib/man/cat2/set_chip_gsr_ignore_unlinked_cells.2 3655729491 1963 ./lib/man/cat2/set_chip_hierarchy.2 2345676875 1419 ./lib/man/cat2/set_chip_lpm.2 1039617920 1563 ./lib/man/cat2/set_chip_max_fanout.2 3421839603 1348 ./lib/man/cat2/set_chip_mem_map.2 3456573534 1190 ./lib/man/cat2/set_chip_objective.2 1132214266 1978 ./lib/man/cat2/set_chip_primitive.2 1867214067 3490 ./lib/man/cat2/set_chip_retiming.2 957231416 1535 ./lib/man/cat2/set_chip_sharing.2 1803834352 3307 ./lib/man/cat2/set_clock.2 609571829 1145 ./lib/man/cat2/set_disable_timing.2 3747203821 1741 ./lib/man/cat2/set_input_delay.2 1340566030 1525 ./lib/man/cat2/set_max_delay.2 2071982192 5122 ./lib/man/cat2/set_module_block.2 590873504 3687 ./lib/man/cat2/set_module_dont_touch.2 75684321 2390 ./lib/man/cat2/set_module_effort.2 358270493 2525 ./lib/man/cat2/set_module_hierarchy.2 1256753281 1559 ./lib/man/cat2/set_module_mem_map.2 2332983609 2298 ./lib/man/cat2/set_module_objective.2 1919055198 3078 ./lib/man/cat2/set_module_primitive.2 547616917 4314 ./lib/man/cat2/set_module_rmdup_cells.2 4186792257 1659 ./lib/man/cat2/set_module_sharing.2 1720831325 1745 ./lib/man/cat2/set_output_delay.2 1684516292 2516 ./lib/man/cat2/set_pad_buffer.2 2453215234 1637 ./lib/man/cat2/set_pad_dir.2 753071850 1582 ./lib/man/cat2/set_pad_input_voltage.2 473593239 1561 ./lib/man/cat2/set_pad_loc.2 1666643444 1297 ./lib/man/cat2/set_pad_output_voltage.2 1689447493 3420 ./lib/man/cat2/set_pad_reg_delay.2 2780163152 927 ./lib/man/cat2/set_pad_reg_delay.copy.2 3712554497 2790 ./lib/man/cat2/set_pad_register.2 1077825725 2973 ./lib/man/cat2/set_pad_resistance.2 1530137433 2728 ./lib/man/cat2/set_pad_slew_rate.2 3491722398 6270 ./lib/man/cat2/socket.2 2334415856 2872 ./lib/man/cat2/source.2 1556352288 1451 ./lib/man/cat2/split.2 3034464423 6758 ./lib/man/cat2/string.2 3758264417 1657 ./lib/man/cat2/subst.2 220059390 1632 ./lib/man/cat2/suppress_message.2 3218920764 4133 ./lib/man/cat2/switch.2 2129607460 13014 ./lib/man/cat2/tclvars.2 3843333828 667 ./lib/man/cat2/tell.2 1925454840 855 ./lib/man/cat2/time.2 864226882 8295 ./lib/man/cat2/trace.2 1088347766 3240 ./lib/man/cat2/translate_dc_script.2 3097658873 1101 ./lib/man/cat2/unalias.2 3967871070 1060 ./lib/man/cat2/unset.2 1197225837 1832 ./lib/man/cat2/unsuppress_message.2 602297507 1852 ./lib/man/cat2/update.2 1367094367 2919 ./lib/man/cat2/update_chip.2 4174801372 2363 ./lib/man/cat2/update_file.2 1484906368 1864 ./lib/man/cat2/update_library.2 2624450661 2251 ./lib/man/cat2/update_project.2 655729859 2808 ./lib/man/cat2/uplevel.2 1142744786 3614 ./lib/man/cat2/upvar.2 1598816964 1400 ./lib/man/cat2/vwait.2 3149550953 2024 ./lib/man/cat2/which.2 2578031798 1263 ./lib/man/cat2/while.2 2544970923 776 ./lib/man/cat3/proj_altera_insert_lcell.3 1821752861 1076 ./lib/man/cat3/proj_enable_vpp.3 3190910475 2289 ./lib/man/cat3/proj_export_directory.3 212683421 872 ./lib/man/cat3/proj_export_timing_constraint.3 3677025686 1051 ./lib/man/cat3/proj_fsm_coding_style.3 185252253 825 ./lib/man/cat3/proj_fsm_optimize_case_default.3 1281085543 1183 ./lib/man/cat3/proj_xnfin_bus_style.3 1322518606 848 ./lib/man/cat3/search_path.3 753365855 530 ./lib/man/cat3/sh_arch.3 3997972958 1023 ./lib/man/cat3/sh_command_abbrev_mode.3 282387837 731 ./lib/man/cat3/sh_continue_on_error.3 1604147751 728 ./lib/man/cat3/sh_enable_page_mode.3 2341090830 650 ./lib/man/cat3/sh_new_variable_message.3 191479555 1061 ./lib/man/cat3/sh_new_variable_message_in_proc.3 1640194603 542 ./lib/man/cat3/sh_product_version.3 1212399677 750 ./lib/man/cat3/sh_source_logging.3 2884686581 789 ./lib/man/cat3/sh_source_uses_search_path.3 3431501039 13 ./lib/packages/ALTERA/ALTCAM.mra 3937028455 17293 ./lib/packages/ALTERA/ALTCAM.sim 1557802346 19552 ./lib/packages/ALTERA/ALTCAM.syn 4121696689 17774 ./lib/packages/ALTERA/ALTCAM__FPGA_EXPRESS.sim 662863039 512 ./lib/packages/ALTERA/ALTCAM__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/ALTERA/ALTCLKLOCK.mra 2706080810 8850 ./lib/packages/ALTERA/ALTCLKLOCK.sim 2706638944 7152 ./lib/packages/ALTERA/ALTCLKLOCK.syn 2715535827 9339 ./lib/packages/ALTERA/ALTCLKLOCK__FPGA_EXPRESS.sim 4103973151 512 ./lib/packages/ALTERA/ALTCLKLOCK__FPGA_EXPRESS.syn 1721064659 31332 ./lib/packages/ALTERA/ALTERA_COMPONENTS.sim 362493270 34960 ./lib/packages/ALTERA/ALTERA_COMPONENTS.syn 3431501039 13 ./lib/packages/ALTERA/ALTLVDS_RX.mra 1267714772 7342 ./lib/packages/ALTERA/ALTLVDS_RX.sim 3501541596 5280 ./lib/packages/ALTERA/ALTLVDS_RX.syn 2307007065 7831 ./lib/packages/ALTERA/ALTLVDS_RX__FPGA_EXPRESS.sim 3364216878 512 ./lib/packages/ALTERA/ALTLVDS_RX__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/ALTERA/ALTLVDS_TX.mra 1380236515 7888 ./lib/packages/ALTERA/ALTLVDS_TX.sim 201109503 6160 ./lib/packages/ALTERA/ALTLVDS_TX.syn 2936805315 8377 ./lib/packages/ALTERA/ALTLVDS_TX__FPGA_EXPRESS.sim 2459465136 512 ./lib/packages/ALTERA/ALTLVDS_TX__FPGA_EXPRESS.syn 2013098883 2904 ./lib/packages/ALTERA/Anal.info 263946647 48 ./lib/packages/ALTERA/Anal.out 3431501039 13 ./lib/packages/ALTERA/CLKLOCK.mra 2374958015 4764 ./lib/packages/ALTERA/CLKLOCK.sim 1214420335 1056 ./lib/packages/ALTERA/CLKLOCK.syn 556918379 5248 ./lib/packages/ALTERA/CLKLOCK__FPGA_EXPRESS.sim 4079782561 512 ./lib/packages/ALTERA/CLKLOCK__FPGA_EXPRESS.syn 4062642574 3794 ./lib/packages/ALTERA/altera_components.vhd 410525386 5 ./lib/packages/IEEE/lib/ANDGATE.mra 2380940195 5478 ./lib/packages/IEEE/lib/ANDGATE.sim 3547308737 24723 ./lib/packages/IEEE/lib/ANDGATE__A.sim 1162564071 6541 ./lib/packages/IEEE/lib/ANDGATE__BI.sim 410525386 5 ./lib/packages/IEEE/lib/BUF3S.mra 789964273 5023 ./lib/packages/IEEE/lib/BUF3S.sim 410525386 5 ./lib/packages/IEEE/lib/BUF3SL.mra 170905656 5026 ./lib/packages/IEEE/lib/BUF3SL.sim 1430926493 23411 ./lib/packages/IEEE/lib/BUF3SL__A.sim 248957052 6087 ./lib/packages/IEEE/lib/BUF3SL__BI.sim 3141681996 23404 ./lib/packages/IEEE/lib/BUF3S__A.sim 2541072141 6082 ./lib/packages/IEEE/lib/BUF3S__BI.sim 410525386 5 ./lib/packages/IEEE/lib/BUFGATE.mra 2576109524 4826 ./lib/packages/IEEE/lib/BUFGATE.sim 1563118291 23124 ./lib/packages/IEEE/lib/BUFGATE__A.sim 3355279912 5889 ./lib/packages/IEEE/lib/BUFGATE__BI.sim 2467487577 3181 ./lib/packages/IEEE/lib/CFG_ANDGATE_A.sim 3256398236 3185 ./lib/packages/IEEE/lib/CFG_ANDGATE_BI.sim 2455418168 3176 ./lib/packages/IEEE/lib/CFG_BUF3SL_A.sim 1442041039 3180 ./lib/packages/IEEE/lib/CFG_BUF3SL_BI.sim 3170913212 3171 ./lib/packages/IEEE/lib/CFG_BUF3S_A.sim 2975536635 3175 ./lib/packages/IEEE/lib/CFG_BUF3S_BI.sim 3944819674 3181 ./lib/packages/IEEE/lib/CFG_BUFGATE_A.sim 2005030545 3185 ./lib/packages/IEEE/lib/CFG_BUFGATE_BI.sim 2561842208 3181 ./lib/packages/IEEE/lib/CFG_DFFREGL_A.sim 3992835483 3185 ./lib/packages/IEEE/lib/CFG_DFFREGL_BI.sim 3931065480 3191 ./lib/packages/IEEE/lib/CFG_DFFREGSRH_A.sim 3035033585 3195 ./lib/packages/IEEE/lib/CFG_DFFREGSRH_BI.sim 4171725930 3191 ./lib/packages/IEEE/lib/CFG_DFFREGSRL_A.sim 1535263302 3195 ./lib/packages/IEEE/lib/CFG_DFFREGSRL_BI.sim 1053384914 3176 ./lib/packages/IEEE/lib/CFG_DFFREG_A.sim 2081328956 3180 ./lib/packages/IEEE/lib/CFG_DFFREG_BI.sim 3095150933 3181 ./lib/packages/IEEE/lib/CFG_DLATRAM_A.sim 2103578173 3186 ./lib/packages/IEEE/lib/CFG_DLATREGL_A.sim 960934448 3190 ./lib/packages/IEEE/lib/CFG_DLATREGL_BI.sim 2090342279 3196 ./lib/packages/IEEE/lib/CFG_DLATREGSRH_A.sim 2740839151 3200 ./lib/packages/IEEE/lib/CFG_DLATREGSRH_BI.sim 2477045676 3196 ./lib/packages/IEEE/lib/CFG_DLATREGSRL_A.sim 405316115 3200 ./lib/packages/IEEE/lib/CFG_DLATREGSRL_BI.sim 198358749 3181 ./lib/packages/IEEE/lib/CFG_DLATREG_A.sim 752105347 3185 ./lib/packages/IEEE/lib/CFG_DLATREG_BI.sim 1410104545 3181 ./lib/packages/IEEE/lib/CFG_DLATROM_A.sim 2787949787 3176 ./lib/packages/IEEE/lib/CFG_INV3SL_A.sim 3939951582 3180 ./lib/packages/IEEE/lib/CFG_INV3SL_BI.sim 2689057743 3171 ./lib/packages/IEEE/lib/CFG_INV3S_A.sim 3243225652 3175 ./lib/packages/IEEE/lib/CFG_INV3S_BI.sim 1264008891 3181 ./lib/packages/IEEE/lib/CFG_INVGATE_A.sim 1841045922 3185 ./lib/packages/IEEE/lib/CFG_INVGATE_BI.sim 1598800560 3171 ./lib/packages/IEEE/lib/CFG_MPWCK_A.sim 3630688840 3176 ./lib/packages/IEEE/lib/CFG_MUX2X1_A.sim 437360604 3180 ./lib/packages/IEEE/lib/CFG_MUX2X1_BI.sim 2023940302 3186 ./lib/packages/IEEE/lib/CFG_NANDGATE_A.sim 1980443343 3190 ./lib/packages/IEEE/lib/CFG_NANDGATE_BI.sim 3404187922 3181 ./lib/packages/IEEE/lib/CFG_NORGATE_A.sim 4112420508 3185 ./lib/packages/IEEE/lib/CFG_NORGATE_BI.sim 2834758421 3196 ./lib/packages/IEEE/lib/CFG_NRXFERGATE_A.sim 1127309647 3191 ./lib/packages/IEEE/lib/CFG_NXFERGATE_A.sim 493164462 3186 ./lib/packages/IEEE/lib/CFG_NXORGATE_A.sim 1044602416 3190 ./lib/packages/IEEE/lib/CFG_NXORGATE_BI.sim 3246774964 3176 ./lib/packages/IEEE/lib/CFG_ORGATE_A.sim 2728778004 3180 ./lib/packages/IEEE/lib/CFG_ORGATE_BI.sim 532363923 3196 ./lib/packages/IEEE/lib/CFG_PRXFERGATE_A.sim 3974369610 3191 ./lib/packages/IEEE/lib/CFG_PXFERGATE_A.sim 1563923635 3181 ./lib/packages/IEEE/lib/CFG_RECOVCK_A.sim 1494942451 3186 ./lib/packages/IEEE/lib/CFG_RESISTOR_A.sim 1323029187 3190 ./lib/packages/IEEE/lib/CFG_RESISTOR_BI.sim 1011815837 3176 ./lib/packages/IEEE/lib/CFG_SUHDCK_A.sim 1856390676 3186 ./lib/packages/IEEE/lib/CFG_WBUFGATE_A.sim 3136123002 3190 ./lib/packages/IEEE/lib/CFG_WBUFGATE_BI.sim 2798971372 3186 ./lib/packages/IEEE/lib/CFG_XNORGATE_A.sim 2970944376 3190 ./lib/packages/IEEE/lib/CFG_XNORGATE_BI.sim 1219663093 3181 ./lib/packages/IEEE/lib/CFG_XORGATE_A.sim 3701092005 3185 ./lib/packages/IEEE/lib/CFG_XORGATE_BI.sim 1936832367 54532 ./lib/packages/IEEE/lib/CYCLONE_RESOLVED.sim 3613909109 13232 ./lib/packages/IEEE/lib/CYCLONE_RESOLVED.syn 2623973486 59817 ./lib/packages/IEEE/lib/CYCLONE_RESOLVED__.sim 410525386 5 ./lib/packages/IEEE/lib/DFFREG.mra 2720354928 7432 ./lib/packages/IEEE/lib/DFFREG.sim 410525386 5 ./lib/packages/IEEE/lib/DFFREGL.mra 1271711399 7435 ./lib/packages/IEEE/lib/DFFREGL.sim 3607232207 39080 ./lib/packages/IEEE/lib/DFFREGL__A.sim 2834219357 8498 ./lib/packages/IEEE/lib/DFFREGL__BI.sim 410525386 5 ./lib/packages/IEEE/lib/DFFREGSRH.mra 3386743726 7641 ./lib/packages/IEEE/lib/DFFREGSRH.sim 1464652625 62048 ./lib/packages/IEEE/lib/DFFREGSRH__A.sim 4105105406 8708 ./lib/packages/IEEE/lib/DFFREGSRH__BI.sim 410525386 5 ./lib/packages/IEEE/lib/DFFREGSRL.mra 198801431 7641 ./lib/packages/IEEE/lib/DFFREGSRL.sim 722880101 62049 ./lib/packages/IEEE/lib/DFFREGSRL__A.sim 3648204790 8708 ./lib/packages/IEEE/lib/DFFREGSRL__BI.sim 104037691 39074 ./lib/packages/IEEE/lib/DFFREG__A.sim 2365576560 8493 ./lib/packages/IEEE/lib/DFFREG__BI.sim 2872349835 2 ./lib/packages/IEEE/lib/DLATRAM.mra 4208525420 7313 ./lib/packages/IEEE/lib/DLATRAM.sim 2389028902 42953 ./lib/packages/IEEE/lib/DLATRAM__A.sim 410525386 5 ./lib/packages/IEEE/lib/DLATREG.mra 1599168641 7436 ./lib/packages/IEEE/lib/DLATREG.sim 410525386 5 ./lib/packages/IEEE/lib/DLATREGL.mra 1176775758 7439 ./lib/packages/IEEE/lib/DLATREGL.sim 609735835 32600 ./lib/packages/IEEE/lib/DLATREGL__A.sim 675881090 8504 ./lib/packages/IEEE/lib/DLATREGL__BI.sim 410525386 5 ./lib/packages/IEEE/lib/DLATREGSRH.mra 1749143488 7645 ./lib/packages/IEEE/lib/DLATREGSRH.sim 2634072617 55664 ./lib/packages/IEEE/lib/DLATREGSRH__A.sim 950873145 8714 ./lib/packages/IEEE/lib/DLATREGSRH__BI.sim 410525386 5 ./lib/packages/IEEE/lib/DLATREGSRL.mra 1525925837 7645 ./lib/packages/IEEE/lib/DLATREGSRL.sim 646802087 55664 ./lib/packages/IEEE/lib/DLATREGSRL__A.sim 2746338058 8714 ./lib/packages/IEEE/lib/DLATREGSRL__BI.sim 4060772657 32594 ./lib/packages/IEEE/lib/DLATREG__A.sim 3150629185 8499 ./lib/packages/IEEE/lib/DLATREG__BI.sim 2872349835 2 ./lib/packages/IEEE/lib/DLATROM.mra 2391914117 6496 ./lib/packages/IEEE/lib/DLATROM.sim 3089714267 35810 ./lib/packages/IEEE/lib/DLATROM__A.sim 4106000250 159074 ./lib/packages/IEEE/lib/GS_TYPES.sim 1670529949 528 ./lib/packages/IEEE/lib/GS_TYPES.syn 1570262592 163818 ./lib/packages/IEEE/lib/GS_TYPES__.sim 410525386 5 ./lib/packages/IEEE/lib/INV3S.mra 2144279804 5023 ./lib/packages/IEEE/lib/INV3S.sim 410525386 5 ./lib/packages/IEEE/lib/INV3SL.mra 1690992775 5026 ./lib/packages/IEEE/lib/INV3SL.sim 4163493976 23366 ./lib/packages/IEEE/lib/INV3SL__A.sim 1076959301 6087 ./lib/packages/IEEE/lib/INV3SL__BI.sim 702281896 23404 ./lib/packages/IEEE/lib/INV3S__A.sim 4092317189 6082 ./lib/packages/IEEE/lib/INV3S__BI.sim 410525386 5 ./lib/packages/IEEE/lib/INVGATE.mra 30931704 4826 ./lib/packages/IEEE/lib/INVGATE.sim 3780588168 23128 ./lib/packages/IEEE/lib/INVGATE__A.sim 3244960970 5889 ./lib/packages/IEEE/lib/INVGATE__BI.sim 985063622 22402 ./lib/packages/IEEE/lib/MATH_COMPLEX.sim 2655477824 320 ./lib/packages/IEEE/lib/MATH_COMPLEX.syn 1013074420 20437 ./lib/packages/IEEE/lib/MATH_REAL.sim 3655208838 304 ./lib/packages/IEEE/lib/MATH_REAL.syn 2872349835 2 ./lib/packages/IEEE/lib/MPWCK.mra 2883954699 4253 ./lib/packages/IEEE/lib/MPWCK.sim 3782450146 9951 ./lib/packages/IEEE/lib/MPWCK__A.sim 410525386 5 ./lib/packages/IEEE/lib/MUX2X1.mra 4247157928 5221 ./lib/packages/IEEE/lib/MUX2X1.sim 2153399746 23763 ./lib/packages/IEEE/lib/MUX2X1__A.sim 1104463635 6282 ./lib/packages/IEEE/lib/MUX2X1__BI.sim 410525386 5 ./lib/packages/IEEE/lib/NANDGATE.mra 2369819418 5481 ./lib/packages/IEEE/lib/NANDGATE.sim 1236166759 24729 ./lib/packages/IEEE/lib/NANDGATE__A.sim 2753173833 6546 ./lib/packages/IEEE/lib/NANDGATE__BI.sim 410525386 5 ./lib/packages/IEEE/lib/NORGATE.mra 2570063933 5478 ./lib/packages/IEEE/lib/NORGATE.sim 3422006111 24723 ./lib/packages/IEEE/lib/NORGATE__A.sim 2257737325 6541 ./lib/packages/IEEE/lib/NORGATE__BI.sim 2872349835 2 ./lib/packages/IEEE/lib/NRXFERGATE.mra 1457771639 4671 ./lib/packages/IEEE/lib/NRXFERGATE.sim 3039811071 27040 ./lib/packages/IEEE/lib/NRXFERGATE__A.sim 1924985553 118800 ./lib/packages/IEEE/lib/NUMERIC_STD.sim 4068028147 382976 ./lib/packages/IEEE/lib/NUMERIC_STD.syn 4278374416 533967 ./lib/packages/IEEE/lib/NUMERIC_STD__.sim 2872349835 2 ./lib/packages/IEEE/lib/NXFERGATE.mra 2911982232 4668 ./lib/packages/IEEE/lib/NXFERGATE.sim 2779792144 27117 ./lib/packages/IEEE/lib/NXFERGATE__A.sim 410525386 5 ./lib/packages/IEEE/lib/NXORGATE.mra 3540048542 5481 ./lib/packages/IEEE/lib/NXORGATE.sim 2673421688 24689 ./lib/packages/IEEE/lib/NXORGATE__A.sim 3096351226 6546 ./lib/packages/IEEE/lib/NXORGATE__BI.sim 410525386 5 ./lib/packages/IEEE/lib/ORGATE.mra 2696031039 5475 ./lib/packages/IEEE/lib/ORGATE.sim 2746424149 24717 ./lib/packages/IEEE/lib/ORGATE__A.sim 2102699397 6536 ./lib/packages/IEEE/lib/ORGATE__BI.sim 2872349835 2 ./lib/packages/IEEE/lib/PRXFERGATE.mra 3260334926 4671 ./lib/packages/IEEE/lib/PRXFERGATE.sim 216525786 27040 ./lib/packages/IEEE/lib/PRXFERGATE__A.sim 2872349835 2 ./lib/packages/IEEE/lib/PXFERGATE.mra 1111288213 4668 ./lib/packages/IEEE/lib/PXFERGATE.sim 3416918912 27117 ./lib/packages/IEEE/lib/PXFERGATE__A.sim 2872349835 2 ./lib/packages/IEEE/lib/RECOVCK.mra 1371241523 4463 ./lib/packages/IEEE/lib/RECOVCK.sim 3789600778 13163 ./lib/packages/IEEE/lib/RECOVCK__A.sim 410525386 5 ./lib/packages/IEEE/lib/RESISTOR.mra 2649158089 4462 ./lib/packages/IEEE/lib/RESISTOR.sim 350163325 29511 ./lib/packages/IEEE/lib/RESISTOR__A.sim 1937213189 5527 ./lib/packages/IEEE/lib/RESISTOR__BI.sim 1267156802 63911 ./lib/packages/IEEE/lib/STD_LOGIC_1164.sim 245820942 23968 ./lib/packages/IEEE/lib/STD_LOGIC_1164.syn 4079277037 241119 ./lib/packages/IEEE/lib/STD_LOGIC_1164__.sim 1637253917 154475 ./lib/packages/IEEE/lib/STD_LOGIC_ARITH.sim 2025956725 331424 ./lib/packages/IEEE/lib/STD_LOGIC_ARITH.syn 381843078 588840 ./lib/packages/IEEE/lib/STD_LOGIC_ARITH__.sim 2765019147 3240 ./lib/packages/IEEE/lib/STD_LOGIC_COMPONENTS.sim 351471921 576 ./lib/packages/IEEE/lib/STD_LOGIC_COMPONENTS.syn 361828442 43304 ./lib/packages/IEEE/lib/STD_LOGIC_MISC.sim 3863758914 19936 ./lib/packages/IEEE/lib/STD_LOGIC_MISC.syn 226438718 139482 ./lib/packages/IEEE/lib/STD_LOGIC_MISC__.sim 2062244240 40041 ./lib/packages/IEEE/lib/STD_LOGIC_SIGNED.sim 2342651159 54336 ./lib/packages/IEEE/lib/STD_LOGIC_SIGNED.syn 1691816822 90164 ./lib/packages/IEEE/lib/STD_LOGIC_SIGNED__.sim 1869754936 27904 ./lib/packages/IEEE/lib/STD_LOGIC_TEXTIO.sim 565195727 496 ./lib/packages/IEEE/lib/STD_LOGIC_TEXTIO.syn 1378831568 173115 ./lib/packages/IEEE/lib/STD_LOGIC_TEXTIO__.sim 976158537 38589 ./lib/packages/IEEE/lib/STD_LOGIC_UNSIGNED.sim 1658246182 50368 ./lib/packages/IEEE/lib/STD_LOGIC_UNSIGNED.syn 4096958207 85465 ./lib/packages/IEEE/lib/STD_LOGIC_UNSIGNED__.sim 2872349835 2 ./lib/packages/IEEE/lib/SUHDCK.mra 639491978 5111 ./lib/packages/IEEE/lib/SUHDCK.sim 3082162212 11755 ./lib/packages/IEEE/lib/SUHDCK__A.sim 3203762093 184233 ./lib/packages/IEEE/lib/VITAL_PRIMITIVES.sim 2309728848 544 ./lib/packages/IEEE/lib/VITAL_PRIMITIVES.syn 1301355354 1243663 ./lib/packages/IEEE/lib/VITAL_PRIMITIVES__.sim 2099518766 164790 ./lib/packages/IEEE/lib/VITAL_TIMING.sim 2343547654 544 ./lib/packages/IEEE/lib/VITAL_TIMING.syn 2635711622 370574 ./lib/packages/IEEE/lib/VITAL_TIMING__.sim 410525386 5 ./lib/packages/IEEE/lib/WBUFGATE.mra 1558001777 4829 ./lib/packages/IEEE/lib/WBUFGATE.sim 2512841828 22928 ./lib/packages/IEEE/lib/WBUFGATE__A.sim 3602864432 22932 ./lib/packages/IEEE/lib/WBUFGATE__BI.sim 410525386 5 ./lib/packages/IEEE/lib/XNORGATE.mra 1666115693 5481 ./lib/packages/IEEE/lib/XNORGATE.sim 908542540 24689 ./lib/packages/IEEE/lib/XNORGATE__A.sim 2128130668 6546 ./lib/packages/IEEE/lib/XNORGATE__BI.sim 410525386 5 ./lib/packages/IEEE/lib/XORGATE.mra 3586588036 5478 ./lib/packages/IEEE/lib/XORGATE.sim 1232290557 24683 ./lib/packages/IEEE/lib/XORGATE__A.sim 4224303559 6541 ./lib/packages/IEEE/lib/XORGATE__BI.sim 2643763032 3848 ./lib/packages/IEEE/src_rel/cyclone_resolved.vhd 513710836 20343 ./lib/packages/IEEE/src_rel/gs_types.vhd 3898243223 3247 ./lib/packages/IEEE/src_rel/math_complex.vhd 3327421618 6891 ./lib/packages/IEEE/src_rel/math_real.vhd 2476475269 111722 ./lib/packages/IEEE/src_rel/numeric_std.vhd 2554821423 235064 ./lib/packages/IEEE/src_rel/prmtvs_b.vhd 2485480917 68322 ./lib/packages/IEEE/src_rel/prmtvs_p.vhd 4195086480 53033 ./lib/packages/IEEE/src_rel/std_logic_1164.vhd 476868931 70489 ./lib/packages/IEEE/src_rel/std_logic_arith.vhd 3097912156 16599 ./lib/packages/IEEE/src_rel/std_logic_components.vhd 2764924618 126268 ./lib/packages/IEEE/src_rel/std_logic_entities.vhd 1148919662 32986 ./lib/packages/IEEE/src_rel/std_logic_misc.vhd 3693568464 12622 ./lib/packages/IEEE/src_rel/std_logic_signed.vhd 245463745 18485 ./lib/packages/IEEE/src_rel/std_logic_textio.vhd 2855531460 12084 ./lib/packages/IEEE/src_rel/std_logic_unsigned.vhd 3313793002 52727 ./lib/packages/IEEE/src_rel/timing_b.vhd 1185839890 47032 ./lib/packages/IEEE/src_rel/timing_p.vhd 1241026673 11674 ./lib/packages/LPM/Anal.info 2452839565 35 ./lib/packages/LPM/Anal.out 3431501039 13 ./lib/packages/LPM/LPM_ABS.mra 2933592307 6555 ./lib/packages/LPM/LPM_ABS.sim 2527381304 4288 ./lib/packages/LPM/LPM_ABS.syn 1543792502 7032 ./lib/packages/LPM/LPM_ABS__FPGA_EXPRESS.sim 3382370847 464 ./lib/packages/LPM/LPM_ABS__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_ADD_SUB.mra 4292829385 9930 ./lib/packages/LPM/LPM_ADD_SUB.sim 3432390270 8320 ./lib/packages/LPM/LPM_ADD_SUB.syn 3783640729 10415 ./lib/packages/LPM/LPM_ADD_SUB__FPGA_EXPRESS.sim 4185772956 480 ./lib/packages/LPM/LPM_ADD_SUB__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_BIPAD.mra 3503290936 7197 ./lib/packages/LPM/LPM_BIPAD.sim 2365025745 5168 ./lib/packages/LPM/LPM_BIPAD.syn 2990249527 7678 ./lib/packages/LPM/LPM_BIPAD__FPGA_EXPRESS.sim 2821311123 464 ./lib/packages/LPM/LPM_BIPAD__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_BUSTRI.mra 2967134679 7464 ./lib/packages/LPM/LPM_BUSTRI.sim 628316359 5312 ./lib/packages/LPM/LPM_BUSTRI.syn 35571316 7947 ./lib/packages/LPM/LPM_BUSTRI__FPGA_EXPRESS.sim 3160298858 464 ./lib/packages/LPM/LPM_BUSTRI__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_CLSHIFT.mra 1608281833 8452 ./lib/packages/LPM/LPM_CLSHIFT.sim 4020394568 6848 ./lib/packages/LPM/LPM_CLSHIFT.syn 3993022463 8937 ./lib/packages/LPM/LPM_CLSHIFT__FPGA_EXPRESS.sim 2877673230 480 ./lib/packages/LPM/LPM_CLSHIFT__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_COMPARE.mra 2840874847 9150 ./lib/packages/LPM/LPM_COMPARE.sim 3154188230 6704 ./lib/packages/LPM/LPM_COMPARE.syn 3044215118 9635 ./lib/packages/LPM/LPM_COMPARE__FPGA_EXPRESS.sim 39322791 480 ./lib/packages/LPM/LPM_COMPARE__FPGA_EXPRESS.syn 2835087139 143523 ./lib/packages/LPM/LPM_COMPONENTS.sim 1536525969 194848 ./lib/packages/LPM/LPM_COMPONENTS.syn 2200257880 147697 ./lib/packages/LPM/LPM_COMPONENTS__.sim 3431501039 13 ./lib/packages/LPM/LPM_CONSTANT.mra 2539562606 6563 ./lib/packages/LPM/LPM_CONSTANT.sim 3223565355 4352 ./lib/packages/LPM/LPM_CONSTANT.syn 1380075410 7050 ./lib/packages/LPM/LPM_CONSTANT__FPGA_EXPRESS.sim 32264031 480 ./lib/packages/LPM/LPM_CONSTANT__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_COUNTER.mra 3028558571 11544 ./lib/packages/LPM/LPM_COUNTER.sim 1938757457 10464 ./lib/packages/LPM/LPM_COUNTER.syn 1137510120 12029 ./lib/packages/LPM/LPM_COUNTER__FPGA_EXPRESS.sim 3125773038 480 ./lib/packages/LPM/LPM_COUNTER__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_DECODE.mra 3354561151 7687 ./lib/packages/LPM/LPM_DECODE.sim 44162552 5024 ./lib/packages/LPM/LPM_DECODE.syn 3997679583 8170 ./lib/packages/LPM/LPM_DECODE__FPGA_EXPRESS.sim 158569185 464 ./lib/packages/LPM/LPM_DECODE__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_DIVIDE.mra 2942412425 9944 ./lib/packages/LPM/LPM_DIVIDE.sim 3267786846 9600 ./lib/packages/LPM/LPM_DIVIDE.syn 1747206513 10427 ./lib/packages/LPM/LPM_DIVIDE__FPGA_EXPRESS.sim 2259365448 480 ./lib/packages/LPM/LPM_DIVIDE__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_FF.mra 458978559 10302 ./lib/packages/LPM/LPM_FF.sim 3548725255 9168 ./lib/packages/LPM/LPM_FF.syn 1601534038 10777 ./lib/packages/LPM/LPM_FF__FPGA_EXPRESS.sim 1283414635 464 ./lib/packages/LPM/LPM_FF__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_FIFO.mra 4048310933 9332 ./lib/packages/LPM/LPM_FIFO.sim 4056067003 6912 ./lib/packages/LPM/LPM_FIFO.syn 3431501039 13 ./lib/packages/LPM/LPM_FIFO_DC.mra 4182957715 10414 ./lib/packages/LPM/LPM_FIFO_DC.sim 2163172167 7984 ./lib/packages/LPM/LPM_FIFO_DC.syn 1085259534 10899 ./lib/packages/LPM/LPM_FIFO_DC__FPGA_EXPRESS.sim 3152329026 480 ./lib/packages/LPM/LPM_FIFO_DC__FPGA_EXPRESS.syn 1203654616 9811 ./lib/packages/LPM/LPM_FIFO__FPGA_EXPRESS.sim 1559555319 464 ./lib/packages/LPM/LPM_FIFO__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_FSM.mra 3400476599 10534 ./lib/packages/LPM/LPM_FSM.sim 2902899440 8896 ./lib/packages/LPM/LPM_FSM.syn 4034455557 11011 ./lib/packages/LPM/LPM_FSM__FPGA_EXPRESS.sim 576323259 464 ./lib/packages/LPM/LPM_FSM__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_INPAD.mra 1920867742 6335 ./lib/packages/LPM/LPM_INPAD.sim 2909364029 4192 ./lib/packages/LPM/LPM_INPAD.syn 729393771 6816 ./lib/packages/LPM/LPM_INPAD__FPGA_EXPRESS.sim 2778738187 464 ./lib/packages/LPM/LPM_INPAD__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_INV.mra 1602934739 6304 ./lib/packages/LPM/LPM_INV.sim 3287082690 4096 ./lib/packages/LPM/LPM_INV.syn 528001614 6781 ./lib/packages/LPM/LPM_INV__FPGA_EXPRESS.sim 1525406375 464 ./lib/packages/LPM/LPM_INV__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_LATCH.mra 3265531512 8178 ./lib/packages/LPM/LPM_LATCH.sim 2000230748 6640 ./lib/packages/LPM/LPM_LATCH.syn 2431155432 8659 ./lib/packages/LPM/LPM_LATCH__FPGA_EXPRESS.sim 3702032478 464 ./lib/packages/LPM/LPM_LATCH__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_MULT.mra 3342991430 9776 ./lib/packages/LPM/LPM_MULT.sim 1596299643 8864 ./lib/packages/LPM/LPM_MULT.syn 3187662266 10255 ./lib/packages/LPM/LPM_MULT__FPGA_EXPRESS.sim 3045369096 464 ./lib/packages/LPM/LPM_MULT__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_OUTPAD.mra 4269270747 6349 ./lib/packages/LPM/LPM_OUTPAD.sim 2089096843 4192 ./lib/packages/LPM/LPM_OUTPAD.syn 2843319194 6832 ./lib/packages/LPM/LPM_OUTPAD__FPGA_EXPRESS.sim 4064280349 480 ./lib/packages/LPM/LPM_OUTPAD__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_RAM_DP.mra 2734880198 12440 ./lib/packages/LPM/LPM_RAM_DP.sim 4170228170 14496 ./lib/packages/LPM/LPM_RAM_DP.syn 1399481977 12923 ./lib/packages/LPM/LPM_RAM_DP__FPGA_EXPRESS.sim 1266279366 480 ./lib/packages/LPM/LPM_RAM_DP__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_RAM_DQ.mra 3329748998 10530 ./lib/packages/LPM/LPM_RAM_DQ.sim 3249422285 11584 ./lib/packages/LPM/LPM_RAM_DQ.syn 1209928651 11013 ./lib/packages/LPM/LPM_RAM_DQ__FPGA_EXPRESS.sim 1231845482 480 ./lib/packages/LPM/LPM_RAM_DQ__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_RAM_IO.mra 1797837039 10367 ./lib/packages/LPM/LPM_RAM_IO.sim 478942042 10928 ./lib/packages/LPM/LPM_RAM_IO.syn 3485260146 10850 ./lib/packages/LPM/LPM_RAM_IO__FPGA_EXPRESS.sim 3979272525 480 ./lib/packages/LPM/LPM_RAM_IO__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_ROM.mra 1175382401 9171 ./lib/packages/LPM/LPM_ROM.sim 1545323209 8304 ./lib/packages/LPM/LPM_ROM.syn 1346074992 9648 ./lib/packages/LPM/LPM_ROM__FPGA_EXPRESS.sim 3425509976 464 ./lib/packages/LPM/LPM_ROM__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_SHIFTREG.mra 1126223350 10723 ./lib/packages/LPM/LPM_SHIFTREG.sim 408817747 9728 ./lib/packages/LPM/LPM_SHIFTREG.syn 3368541729 11210 ./lib/packages/LPM/LPM_SHIFTREG__FPGA_EXPRESS.sim 892988855 480 ./lib/packages/LPM/LPM_SHIFTREG__FPGA_EXPRESS.syn 3431501039 13 ./lib/packages/LPM/LPM_TTABLE.mra 3501499781 7518 ./lib/packages/LPM/LPM_TTABLE.sim 2348909760 4992 ./lib/packages/LPM/LPM_TTABLE.syn 3191658016 8001 ./lib/packages/LPM/LPM_TTABLE__FPGA_EXPRESS.sim 131498917 480 ./lib/packages/LPM/LPM_TTABLE__FPGA_EXPRESS.syn 2257349723 21162 ./lib/packages/LPM/lpm_components.vhd 3441081768 8051 ./lib/packages/synopsys/lib/ATTRIBUTES.sim 931300641 496 ./lib/packages/synopsys/lib/ATTRIBUTES.syn 2469810839 3351 ./lib/packages/synopsys/lib/BEHAVIORAL.sim 166974623 320 ./lib/packages/synopsys/lib/BEHAVIORAL.syn 986157980 3392 ./lib/packages/synopsys/lib/BEHAVIORAL__.sim 1985068079 11611 ./lib/packages/synopsys/lib/BV_ARITHMETIC.sim 4285652046 52192 ./lib/packages/synopsys/lib/BV_ARITHMETIC.syn 1558923171 102782 ./lib/packages/synopsys/lib/BV_ARITHMETIC__.sim 3565786896 7646 ./lib/packages/synopsys/lib/DISTRIBUTIONS.sim 1164588008 27194 ./lib/packages/synopsys/lib/DISTRIBUTIONS__.sim 2872349835 2 ./lib/packages/synopsys/lib/EX1.mra 1908730136 3090 ./lib/packages/synopsys/lib/EX1.sim 3235942528 7288 ./lib/packages/synopsys/lib/EX1__A.sim 2872349835 2 ./lib/packages/synopsys/lib/EX2.mra 2689819724 3090 ./lib/packages/synopsys/lib/EX2.sim 2872349835 2 ./lib/packages/synopsys/lib/EX2_C.mra 541473252 3784 ./lib/packages/synopsys/lib/EX2_C.sim 3136709537 5719 ./lib/packages/synopsys/lib/EX2_C__A.sim 2872349835 2 ./lib/packages/synopsys/lib/EX2_P.mra 1729550315 3784 ./lib/packages/synopsys/lib/EX2_P.sim 3424423610 5713 ./lib/packages/synopsys/lib/EX2_P__A.sim 3088829330 7153 ./lib/packages/synopsys/lib/EX2__A.sim 2872349835 2 ./lib/packages/synopsys/lib/EX3.mra 2339890194 3090 ./lib/packages/synopsys/lib/EX3.sim 620826835 20914 ./lib/packages/synopsys/lib/EX3__A.sim 1604439800 37277 ./lib/packages/synopsys/lib/INTEGER_QUEUES.sim 1198377324 64207 ./lib/packages/synopsys/lib/INTEGER_QUEUES__.sim 561409160 19944 ./lib/packages/synopsys/lib/INTEGER_ZQUEUES.sim 3073283579 35859 ./lib/packages/synopsys/lib/INTEGER_ZQUEUES__.sim 2723289946 10467 ./lib/packages/synopsys/lib/SDF_HEADER.sim 506847069 7587 ./lib/packages/synopsys/src_rel/attributes.vhd 3600216771 1188 ./lib/packages/synopsys/src_rel/behavioral.vhd 686235453 12038 ./lib/packages/synopsys/src_rel/bvarithmetic.vhd 3725730466 8970 ./lib/packages/synopsys/src_rel/distributions.vhd 775869768 5514 ./lib/packages/synopsys/src_rel/qe.vhd 1041957247 2067 ./lib/packages/synopsys/src_rel/sdf_header.vhd 3254639874 12310 ./lib/packages/synopsys/src_rel/vhdlq.vhd 4055479822 9056 ./lib/packages/synopsys/src_rel/vhdlzq.vhd 3703224677 24467 ./readme.htm 2768804599 939 ./readme.txt 2109112312 488 ./samples/Altera/mult/mult_hdl.vhd 2284919657 934 ./samples/Altera/mult/mult_lpm.vhd 49748887 170 ./samples/Altera/mult/readme.txt 4176646163 1506 ./samples/FSM/VHDL/prep3.vhd 2270931844 649 ./samples/FSM/Verilog/fsm_count.v 1219785611 719 ./samples/Open/prep1.vhd 1750079853 3494 ./samples/Synopsys/am2910/am2910.vhd 295050342 329 ./samples/Synopsys/am2910/bts4.vhd 2199332177 5231 ./samples/Synopsys/am2910/control.vhd 1559497106 5321 ./samples/Synopsys/am2910/lib.vhd 2420864832 478 ./samples/Synopsys/am2910/readme.txt 3674825883 610 ./samples/Synopsys/am2910/regcnt.vhd 4180532911 4675 ./samples/Synopsys/am2910/stack.vhd 2424305177 581 ./samples/Synopsys/am2910/upc.vhd 3665476791 586 ./samples/Synopsys/am2910/y.vhd 3890676487 2157 ./samples/Synopsys/am2910_nt.fst 2841978466 2104 ./samples/Synopsys/am2910_unix.fst 2597574000 782 ./samples/Synopsys/browse.fst 2707803956 1117 ./samples/Synopsys/constraints.fst 3100150271 553 ./samples/tutorial/verilog/counter.v 117142459 363 ./samples/tutorial/verilog/tutor.v 3385140842 815 ./samples/tutorial/vhdl/counter.vhd 473913285 675 ./samples/tutorial/vhdl/tutor.vhd