Jww$ bin-win32igetstart\frame getstart\pdfhelphelp\vendordocshelpsrcliblib\admin\setup lib\APEX20K lib\APEX20KE lib\auxx\syn lib\FLEX10K lib\FLEX6000 lib\FLEX8000 lib\gtechlib\libraries\syn lib\man\cat1 lib\man\cat2 lib\man\cat3 lib\MAX7000 lib\MAX9000lib\packages\ALTERAlib\packages\IEEE\liblib\packages\IEEE\src_rellib\packages\LPMlib\packages\synopsys\liblib\packages\synopsys\src_relsamples\Altera\multsamples\FSM\Verilogsamples\FSM\VHDL samples\Opensamples\Synopsyssamples\Synopsys\am2910samples\tutorial\verilogsamples\tutorial\vhdlGOgolden_cksum.list helpext.htm install.htmD install2.gifeE installa.gifl readme.htm5 readme.txt advapi32.dll chiptree.ocx+t comdlg32.dll&b common.dllKq constedit.ocxַ@dpmw.dll}9 feslw30.dllfexp.exe܇A fe_shell.exe filetree.ocx< fpgaexp.exeZy gdi32.dllh hdledit.ocxtl infoview.ocx kernel32.dll LMGR326B.DLL mfc42.dll) msvcrt.dll@ netbrowse.ocx9netlistpane.ocxN og70.dllDS ole32.dllSot60.dll6 quicktour.exec6 regsvr32.exe  schemctl.ocx- shell32.dll,  user32.dllB version.dllG_about.fmDcover.fm5 fe_ags.book fpxgs_1.fmx fpxgs_2.fm8 fpxgs_3.fm*index.fmlof.fmlot.fmtoc.fm getstart.pdft appnotes.cntI appnotes.hlp fpgaexp.cntreport_project.2report_timing.2!return.2scan.2 script_chip.2Iseek.2aset.2set_cell_max_fanout.2set_chip_advanced_opt.2Zset_chip_constraint_driven.2set_chip_effort.2$set_chip_gsr_ignore_unlinked_cells.2set_chip_hierarchy.2}set_chip_lpm.2set_chip_max_fanout.2]set_chip_mem_map.2set_chip_objective.2set_chip_primitive.2Mset_chip_retiming.2set_chip_sharing.2 set_clock.2:set_disable_timing.2set_input_delay.2set_max_delay.2%set_module_block.2set_module_dont_touch.2]set_module_effort.2set_module_hierarchy.2set_module_mem_map.2set_module_objective.2set_module_primitive.2 set_module_rmdup_cells.2set_module_sharing.2set_output_delay.23set_pad_buffer.2 set_pad_dir.2set_pad_input_voltage.2 set_pad_loc.2Zset_pad_output_voltage.2=set_pad_register.2set_pad_reg_delay.2set_pad_reg_delay.copy.2vset_pad_resistance.2set_pad_slew_rate.2socket.2source.2isplit.2Zstring.2subst.2suppress_message.2switch.2 Tcl.2e tclvars.23tell.2time.2 trace.2translate_dc_script.2 unalias.2unset.2unsuppress_message.2rupdate.2z update_chip.2 update_file.2update_library.2update_project.2i uplevel.2upvar.2rvwait.2which.2Vwhile.2proj_altera_insert_lcell.3$proj_enable_vpp.3proj_export_directory.3proj_export_timing_constraint.3proj_fsm_coding_style.3 proj_fsm_optimize_case_default.3proj_xnfin_bus_style.3 search_path.3R sh_arch.37sh_command_abbrev_mode.3sh_continue_on_error.3sh_enable_page_mode.3}sh_new_variable_message.34!sh_new_variable_message_in_proc.3Ash_product_version.3sh_source_logging.3sh_source_uses_search_path.3\ AND12.dsnAND2.dsnAND3.dsnAND4.dsnAND6.dsnAND8.dsnt BAND12.dsn BAND2.dsn BAND3.dsn BAND4.dsn BAND6.dsn BAND8.dsnx BNAND12.dsn BNAND2.dsn BNAND3.dsn BNAND4.dsn BNAND6.dsn BNAND8.dsn_ BNOR12.dsn BNOR2.dsn BNOR3.dsn BNOR4.dsn BNOR6.dsn BNOR8.dsnc BOR12.dsnBOR2.dsnBOR3.dsnBOR4.dsnBOR6.dsnBOR8.dsn CARRY.dsn CASCADE.dsnDFF.dsnqDFFE.dsnEXP.dsn GLOBAL.dsnsINV.dsn LATCH.dsnLATCH_CLRN.dsnLATCH_CLRN_MACRO.dsnLATCH_CLRN_PRN.dsnLATCH_CLRN_PRN_MACRO.dsn LATCH_PRN.dsnLATCH_PRN_MACRO.dsn LCELL.dsnc NAND12.dsn NAND2.dsn NAND3.dsn NAND4.dsn NAND6.dsn NAND8.dsnu NOR12.dsnNOR2.dsnNOR3.dsnNOR4.dsnNOR6.dsnNOR8.dsn OPNDRN.dsnuOR12.dsnOR2.dsnOR3.dsnOR4.dsnOR6.dsnOR8.dsnx RSLATCH.dsnvRSLATCH_MACRO.dsn~SOFT.dsn.TFF.dsn{TFFE.dsn TRIBUF.dsn XNOR2.dsnXOR2.dsn\ AND12.dsnAND2.dsnAND3.dsnAND4.dsnAND6.dsnAND8.dsnt BAND12.dsn BAND2.dsn BAND3.dsn BAND4.dsn BAND6.dsn BAND8.dsnx BNAND12.dsn BNAND2.dsn BNAND3.dsn BNAND4.dsn BNAND6.dsn BNAND8.dsn_ BNOR12.dsn BNOR2.dsn BNOR3.dsn BNOR4.dsn BNOR6.dsn BNOR8.dsnc BOR12.dsnBOR2.dsnBOR3.dsnBOR4.dsnBOR6.dsnBOR8.dsn CARRY.dsn CASCADE.dsnDFF.dsnqDFFE.dsnEXP.dsn GLOBAL.dsnsINV.dsn LATCH.dsnLATCH_CLRN.dsnLATCH_CLRN_MACRO.dsnLATCH_CLRN_PRN.dsnLATCH_CLRN_PRN_MACRO.dsn LATCH_PRN.dsnLATCH_PRN_MACRO.dsn LCELL.dsnc NAND12.dsn NAND2.dsn NAND3.dsn NAND4.dsn NAND6.dsn NAND8.dsnu NOR12.dsnNOR2.dsnNOR3.dsnNOR4.dsnNOR6.dsnNOR8.dsn OPNDRN.dsnuOR12.dsnOR2.dsnOR3.dsnOR4.dsnOR6.dsnOR8.dsnx RSLATCH.dsnvRSLATCH_MACRO.dsn~SOFT.dsn.TFF.dsn{TFFE.dsn TRIBUF.dsn XNOR2.dsnXOR2.dsn ALTCAM.mraZ ALTCAM.simU ALTCAM.syn(ALTCAM__FPGA_EXPRESS.simCALTCAM__FPGA_EXPRESS.synALTCLKLOCK.mraj ALTCLKLOCK.sim[ALTCLKLOCK.syn. ALTCLKLOCK__FPGA_EXPRESS.simCALTCLKLOCK__FPGA_EXPRESS.syn0&ALTERA_COMPONENTS.simEALTERA_COMPONENTS.synaltera_components.vhdALTLVDS_RX.mrahALTLVDS_RX.sim2ALTLVDS_RX.syn ALTLVDS_RX__FPGA_EXPRESS.simCALTLVDS_RX__FPGA_EXPRESS.synALTLVDS_TX.mra ALTLVDS_TX.simALTLVDS_TX.syn ALTLVDS_TX__FPGA_EXPRESS.simDALTLVDS_TX__FPGA_EXPRESS.syn: Anal.info,Anal.out CLKLOCK.mra CLKLOCK.sim CLKLOCK.synCLKLOCK__FPGA_EXPRESS.simDCLKLOCK__FPGA_EXPRESS.syn ANDGATE.mra ANDGATE.sim ANDGATE__A.simANDGATE__BI.sim BUF3S.mraY BUF3S.sim BUF3SL.mraY BUF3SL.sim[ BUF3SL__A.sim+BUF3SL__BI.sim` BUF3S__A.sim) BUF3S__BI.sim BUFGATE.mra  BUFGATE.simBUFGATE__A.simBUFGATE__BI.simOCFG_ANDGATE_A.simOCFG_ANDGATE_BI.simNCFG_BUF3SL_A.simICFG_BUF3SL_BI.simOCFG_BUF3S_A.simKCFG_BUF3S_BI.simMCFG_BUFGATE_A.simNCFG_BUFGATE_BI.simTCFG_DFFREGL_A.simTCFG_DFFREGL_BI.simVCFG_DFFREGSRH_A.simTCFG_DFFREGSRH_BI.simRCFG_DFFREGSRL_A.simQCFG_DFFREGSRL_BI.simICFG_DFFREG_A.simVCFG_DFFREG_BI.simPCFG_DLATRAM_A.simPCFG_DLATREGL_A.simSCFG_DLATREGL_BI.simOCFG_DLATREGSRH_A.simYCFG_DLATREGSRH_BI.simQCFG_DLATREGSRL_A.sim\CFG_DLATREGSRL_BI.simLCFG_DLATREG_A.simRCFG_DLATREG_BI.simJCFG_DLATROM_A.simRCFG_INV3SL_A.simMCFG_INV3SL_BI.simOCFG_INV3S_A.simKCFG_INV3S_BI.simQCFG_INVGATE_A.simRCFG_INVGATE_BI.simTCFG_MPWCK_A.simPCFG_MUX2X1_A.simRCFG_MUX2X1_BI.simNCFG_NANDGATE_A.simQCFG_NANDGATE_BI.simPCFG_NORGATE_A.simRCFG_NORGATE_BI.simNCFG_NRXFERGATE_A.simSCFG_NXFERGATE_A.simOCFG_NXORGATE_A.simQCFG_NXORGATE_BI.simPCFG_ORGATE_A.simKCFG_ORGATE_BI.simWCFG_PRXFERGATE_A.simTCFG_PXFERGATE_A.simLCFG_RECOVCK_A.simQCFG_RESISTOR_A.simRCFG_RESISTOR_BI.simPCFG_SUHDCK_A.simPCFG_WBUFGATE_A.simRCFG_WBUFGATE_BI.simPCFG_XNORGATE_A.simNCFG_XNORGATE_BI.simUCFG_XORGATE_A.simOCFG_XORGATE_BI.sim3CYCLONE_RESOLVED.sim:CYCLONE_RESOLVED.syn]9CYCLONE_RESOLVED__.sim DFFREG.mra DFFREG.sim DFFREGL.mra DFFREGL.sim DFFREGL__A.sim DFFREGL__BI.sim DFFREGSRH.mra DFFREGSRH.sim,DFFREGSRH__A.sim DFFREGSRH__BI.sim DFFREGSRL.mra DFFREGSRL.sim,DFFREGSRL__A.sim DFFREGSRL__BI.sim DFFREG__A.sim DFFREG__BI.sim DLATRAM.mra DLATRAM.sim+DLATRAM__A.sim DLATREG.mra DLATREG.sim DLATREGL.mra DLATREGL.simDLATREGL__A.sim DLATREGL__BI.sim DLATREGSRH.mra! DLATREGSRH.sim()DLATREGSRH__A.sim DLATREGSRH__BI.sim DLATREGSRL.mra% DLATREGSRL.sim2)DLATREGSRL__A.sim DLATREGSRL__BI.sim%DLATREG__A.sim DLATREG__BI.sim DLATROM.mra DLATROM.sim!DLATROM__A.sim GS_TYPES.simU GS_TYPES.synGS_TYPES__.sim INV3S.mraW INV3S.sim INV3SL.mraX INV3SL.simK INV3SL__A.sim-INV3SL__BI.sim` INV3S__A.sim) INV3S__BI.sim INVGATE.mra INVGATE.simINVGATE__A.simINVGATE__BI.simMATH_COMPLEX.simlMATH_COMPLEX.syn! MATH_REAL.simZ MATH_REAL.syn MPWCK.mra" MPWCK.sim MPWCK__A.sim MUX2X1.mra MUX2X1.sim MUX2X1__A.simiMUX2X1__BI.sim NANDGATE.mra NANDGATE.sim NANDGATE__A.simNANDGATE__BI.sim NORGATE.mra NORGATE.simNORGATE__A.simNORGATE__BI.simNRXFERGATE.mraNRXFERGATE.sim5NRXFERGATE__A.simnNUMERIC_STD.simNUMERIC_STD.syn#NUMERIC_STD__.sim NXFERGATE.mra NXFERGATE.simNXFERGATE__A.sim NXORGATE.mra NXORGATE.simNXORGATE__A.simNXORGATE__BI.sim ORGATE.mra ORGATE.sim  ORGATE__A.simORGATE__BI.simPRXFERGATE.mraPRXFERGATE.sim5PRXFERGATE__A.sim PXFERGATE.mra PXFERGATE.sim|PXFERGATE__A.sim RECOVCK.mrar RECOVCK.simRECOVCK__A.sim RESISTOR.mrau RESISTOR.simRESISTOR__A.sim;RESISTOR__BI.sim1>STD_LOGIC_1164.simiSTD_LOGIC_1164.synSTD_LOGIC_1164__.simSTD_LOGIC_ARITH.simɬSTD_LOGIC_ARITH.syne4STD_LOGIC_ARITH__.simiSTD_LOGIC_COMPONENTS.simSTD_LOGIC_COMPONENTS.syn!,STD_LOGIC_MISC.simlWSTD_LOGIC_MISC.synSTD_LOGIC_MISC__.sim$STD_LOGIC_SIGNED.sim5STD_LOGIC_SIGNED.synGXSTD_LOGIC_SIGNED__.simJSTD_LOGIC_TEXTIO.sim/STD_LOGIC_TEXTIO.synSTD_LOGIC_TEXTIO__.sim#STD_LOGIC_UNSIGNED.simSTD_LOGIC_UNSIGNED.synSSTD_LOGIC_UNSIGNED__.sim SUHDCK.mraz SUHDCK.sim SUHDCK__A.simVITAL_PRIMITIVES.simfVITAL_PRIMITIVES.synVITAL_PRIMITIVES__.simVITAL_TIMING.simeVITAL_TIMING.syn VITAL_TIMING__.sim WBUFGATE.mra WBUFGATE.simWBUFGATE__A.simWBUFGATE__BI.sim XNORGATE.mra XNORGATE.simXNORGATE__A.simXNORGATE__BI.sim XORGATE.mra XORGATE.simXORGATE__A.simXORGATE__BI.simcyclone_resolved.vhd gs_types.vhd2math_complex.vhd6 math_real.vhd7numeric_std.vhdk prmtvs_b.vhd( prmtvs_p.vhdstd_logic_1164.vhd+std_logic_arith.vhdstd_logic_components.vhd<_std_logic_entities.vhdlstd_logic_misc.vhdstd_logic_signed.vhdN std_logic_textio.vhdstd_logic_unsigned.vhd% timing_b.vhd)* timing_p.vhd Anal.info+Anal.out LPM_ABS.mra, LPM_ABS.sim LPM_ABS.synLPM_ABS__FPGA_EXPRESS.simLPM_ABS__FPGA_EXPRESS.synLPM_ADD_SUB.mra LPM_ADD_SUB.sim$LPM_ADD_SUB.syn LPM_ADD_SUB__FPGA_EXPRESS.sim LPM_ADD_SUB__FPGA_EXPRESS.syn LPM_BIPAD.mra LPM_BIPAD.sim LPM_BIPAD.synLPM_BIPAD__FPGA_EXPRESS.sim LPM_BIPAD__FPGA_EXPRESS.synLPM_BUSTRI.mraULPM_BUSTRI.simNLPM_BUSTRI.syn LPM_BUSTRI__FPGA_EXPRESS.simLPM_BUSTRI__FPGA_EXPRESS.synLPM_CLSHIFT.mra LPM_CLSHIFT.simLPM_CLSHIFT.syns LPM_CLSHIFT__FPGA_EXPRESS.simLPM_CLSHIFT__FPGA_EXPRESS.synLPM_COMPARE.mra LPM_COMPARE.simkLPM_COMPARE.syn LPM_COMPARE__FPGA_EXPRESS.simLPM_COMPARE__FPGA_EXPRESS.synߠLPM_COMPONENTS.sim'VLPM_COMPONENTS.syn lpm_components.vhdLPM_COMPONENTS__.simLPM_CONSTANT.mra!LPM_CONSTANT.simLPM_CONSTANT.synLPM_CONSTANT__FPGA_EXPRESS.sim LPM_CONSTANT__FPGA_EXPRESS.synLPM_COUNTER.mra LPM_COUNTER.sim-LPM_COUNTER.synLPM_COUNTER__FPGA_EXPRESS.simLPM_COUNTER__FPGA_EXPRESS.synLPM_DECODE.mraLPM_DECODE.simLPM_DECODE.syn LPM_DECODE__FPGA_EXPRESS.simLPM_DECODE__FPGA_EXPRESS.synLPM_DIVIDE.mra LPM_DIVIDE.sim*LPM_DIVIDE.syn_ LPM_DIVIDE__FPGA_EXPRESS.simLPM_DIVIDE__FPGA_EXPRESS.syn LPM_FF.mra LPM_FF.sim0( LPM_FF.syn LPM_FF__FPGA_EXPRESS.sim LPM_FF__FPGA_EXPRESS.syn LPM_FIFO.mra LPM_FIFO.simL LPM_FIFO.synLPM_FIFO_DC.mraQ LPM_FIFO_DC.sim#LPM_FIFO_DC.syn LPM_FIFO_DC__FPGA_EXPRESS.sim LPM_FIFO_DC__FPGA_EXPRESS.syn LPM_FIFO__FPGA_EXPRESS.simLPM_FIFO__FPGA_EXPRESS.syn LPM_FSM.mra< LPM_FSM.sim' LPM_FSM.syn LPM_FSM__FPGA_EXPRESS.sim LPM_FSM__FPGA_EXPRESS.syn LPM_INPAD.mra LPM_INPAD.sima LPM_INPAD.synLPM_INPAD__FPGA_EXPRESS.sim LPM_INPAD__FPGA_EXPRESS.syn LPM_INV.mra LPM_INV.sim LPM_INV.synLPM_INV__FPGA_EXPRESS.simLPM_INV__FPGA_EXPRESS.syn LPM_LATCH.mraJ LPM_LATCH.sim" LPM_LATCH.syn LPM_LATCH__FPGA_EXPRESS.simLPM_LATCH__FPGA_EXPRESS.syn LPM_MULT.mra LPM_MULT.sim& LPM_MULT.synl LPM_MULT__FPGA_EXPRESS.simLPM_MULT__FPGA_EXPRESS.synLPM_OUTPAD.mraLPM_OUTPAD.simeLPM_OUTPAD.synLPM_OUTPAD__FPGA_EXPRESS.sim LPM_OUTPAD__FPGA_EXPRESS.synLPM_RAM_DP.mrakLPM_RAM_DP.sim?LPM_RAM_DP.syn5LPM_RAM_DP__FPGA_EXPRESS.sim LPM_RAM_DP__FPGA_EXPRESS.synLPM_RAM_DQ.mra7 LPM_RAM_DQ.sim2LPM_RAM_DQ.syn LPM_RAM_DQ__FPGA_EXPRESS.simLPM_RAM_DQ__FPGA_EXPRESS.synLPM_RAM_IO.mra- LPM_RAM_IO.sim/LPM_RAM_IO.syn LPM_RAM_IO__FPGA_EXPRESS.simLPM_RAM_IO__FPGA_EXPRESS.syn LPM_ROM.mra LPM_ROM.sime$ LPM_ROM.syn| LPM_ROM__FPGA_EXPRESS.sim LPM_ROM__FPGA_EXPRESS.synLPM_SHIFTREG.mra LPM_SHIFTREG.sim*LPM_SHIFTREG.synM LPM_SHIFTREG__FPGA_EXPRESS.sim LPM_SHIFTREG__FPGA_EXPRESS.synLPM_TTABLE.mraBLPM_TTABLE.simLPM_TTABLE.synLPM_TTABLE__FPGA_EXPRESS.sim LPM_TTABLE__FPGA_EXPRESS.syn8 ATTRIBUTES.sim1ATTRIBUTES.synBEHAVIORAL.simkBEHAVIORAL.synBEHAVIORAL__.sim BV_ARITHMETIC.simBV_ARITHMETIC.synjBV_ARITHMETIC__.simBDISTRIBUTIONS.sim9 DISTRIBUTIONS__.simEX1.mraEX1.sim EX1__A.simEX2.mraEX2.sim EX2_C.mraN EX2_C.sim} EX2_C__A.sim EX2_P.mraN EX2_P.sim_ EX2_P__A.sim EX2__A.simEX3.mraEX3.sim EX3__A.simv&INTEGER_QUEUES.simGINTEGER_QUEUES__.sim~INTEGER_ZQUEUES.sim(INTEGER_ZQUEUES__.sim SDF_HEADER.sim attributes.vhd_behavioral.vhd bvarithmetic.vhd distributions.vhdqe.vhdLsdf_header.vhdV vhdlq.vhd} vhdlzq.vhd( mult_hdl.vhd mult_lpm.vhd readme.txtX fsm_count.va prep3.vhd\ prep1.vhd  am2910_nt.fst am2910_unix.fst  browse.fst @constraints.fst!< am2910.vhd!bts4.vhd! control.vhd!olib.vhd!= readme.txt!W regcnt.vhd!_ stack.vhd!Uupc.vhd!&y.vhd"1 counter.v"tutor.v# counter.vhd#U tutor.vhd snpsfpga.Z