ERROR [3012] Entity counter not found in WORK Library.
The HDL syntax checker has found a problem with your file. The entity, in this case "counter" is not defined in your HDL file.
Solution:
Open your HDL file in the HDL Editor and define the entity. An example is highlighted below.
-- 16 bit loadable counter with enable and asynchronous reset
-- Actel Corporation
-- October 1, 2001
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity counter is
port (CLK, RESETn, LOAD, ENABLE: in std_logic;
DATA: in std_logic_vector(15 downto 0);
COUNT: out std_logic_vector(15 downto 0));
end counter;
architecture RTL of counter is
signal COUNT_int: std_logic_vector(15 downto 0);
begin
process (RESETn, CLK)
begin
if (RESETn = '0') then
COUNT_int <= (others => '0');
elsif (CLK 'event and CLK = '1') then
if (LOAD = '1') then
COUNT_int <= DATA;
elsif (ENABLE = '1') then
COUNT_int <= COUNT_int + 1;
end if;
end if;
end process;
COUNT <= COUNT_int;
end RTL;