Reserved Actel keywords

The following keywords are reserved. Actel recommends that you do not use them for module names.

The list is alphabetical.  To determine if your name is reserved, click the Search tab, and type in the name you wish to use. If it is not on the list (unreserved), the search returns the message "No topics found." If the Reserved Actel keywords topic appears in the Search list, the name is reserved and you must choose an alternative.

A3IOMOD1

A3IOMOD2

A3IOMOD3

AALUF

ADD1

ADDSUB1

AFCNTECP1

AFCNTELDCP1

AND2

AND2A

AND2B

AND2FT

AND3

AND3A

AND3B

AND3C

AND3FFT

AND4

AND4_0

AND4_1

AND4A

AND4A_0

AND4A_1

AND4B

AND4C

AND4D

AND4D_0

AND4D_1

AND5A

AND5B

AND7

AO1

AO10

AO11

AO12

AO13

AO14

AO15

AO16

AO17

AO18

AO1A

AO1B

AO1C

AO1D

AO1E

AO2

AO21

AO21FTF

AO21FTT

AO21TTF

AO2A

AO2B

AO2C

AO2D

AO2E

AO3

AO3A

AO3B

AO3C

AO4A

AO5A

AO6

AO6A

AO7

AO8

AO9

AOI1

AOI1_0

AOI1_1

AOI1A

AOI1B

AOI1C

AOI1D

AOI21

AOI21FTF

AOI21FTT

AOI21TTF

AOI2A

AOI2B

AOI3A

AOI4

AOI4_0

AOI4_1

AOI5

AOI6

ARCNTECP1

ARCNTELDCP1

AX1

AX1A

AX1B

AX1C

AX1D

AX1E

AX7

AXO1

AXO2

AXO3

AXO5

AXO6

AXO7

AXOI1

AXOI2

AXOI3

AXOI4

AXOI5

AXOI7

BBCELL1

BBCELL2

BBDL1_0

BBDLHS

BBDLLS

BBHS

BBHSA

BBLS

BBLSA

BBUFTH

BBUFTL

BFR

BIBUF

BIBUF_F_12

BIBUF_F_12D

BIBUF_F_12U

BIBUF_F_16

BIBUF_F_16D

BIBUF_F_16U

BIBUF_F_2

BIBUF_F_24

BIBUF_F_24D

BIBUF_F_24U

BIBUF_F_2D

BIBUF_F_2U

BIBUF_F_36

BIBUF_F_36D

BIBUF_F_36U

BIBUF_F_4

BIBUF_F_4

BIBUF_F_4D

BIBUF_F_4U

BIBUF_F_6

BIBUF_F_6D

BIBUF_F_6U

BIBUF_F_8

BIBUF_F_8D

BIBUF_F_8U

BIBUF_GTL18

BIBUF_GTL25

BIBUF_GTL33

BIBUF_GTLP18

BIBUF_GTLP25

BIBUF_GTLP33

BIBUF_H

BIBUF_HSTL_I

BIBUF_HSTL_II

BIBUF_LVCMOS15

BIBUF_LVCMOS15D

BIBUF_LVCMOS15U

BIBUF_LVCMOS18

BIBUF_LVCMOS18D

BIBUF_LVCMOS18U

BIBUF_LVCMOS25

BIBUF_LVCMOS25D

BIBUF_LVCMOS25U

BIBUF_LVCMOS5

BIBUF_LVCMOS5D

BIBUF_LVCMOS5U

BIBUF_PCI

BIBUF_PCIX

BIBUF_S_12

BIBUF_S_12D

BIBUF_S_12U

BIBUF_S_16

BIBUF_S_16D

BIBUF_S_16U

BIBUF_S_2

BIBUF_S_24

BIBUF_S_24D

BIBUF_S_24U

BIBUF_S_2D

BIBUF_S_2U

BIBUF_S_36

BIBUF_S_36D

BIBUF_S_36U

BIBUF_S_4

BIBUF_S_4D

BIBUF_S_4U

BIBUF_S_6

BIBUF_S_6D

BIBUF_S_6U

BIBUF_S_8

BIBUF_S_8D

BIBUF_S_8U

BIBUF_SSTL2_I

BIBUF_SSTL2_II

BIBUF_SSTL3_I

BIBUF_SSTL3_II

BIECTH

BIECTL

BIEPTH

BIEPTL

binio

binlog

BIOFIFO_BIDIRINFIFO

BIOFIFO_BIDIROUTFIFO

BIOFIFO_INFIFO

BIOFIFO_OUTFIFO

both3_and2

BRECTH

BRECTL

BREPTH

BREPTL

BUBBLE

BUF

BUF_AUTO

BUF_AUTO

BUFA

BUFD

BUFF

BYPREG

CBIBUF_0

clk

clk1

clk2

CLKBIBUF

CLKBIBUF_H

CLKBIBUFI

CLKBIBUFIO

CLKBUF

CLKBUF_0

CLKBUF_DIFF_H

CLKBUF_GTL18

CLKBUF_GTL25

CLKBUF_GTL33

CLKBUF_GTLP18

CLKBUF_GTLP25

CLKBUF_GTLP33

CLKBUF_H

CLKBUF_HSTL_I

CLKBUF_HSTL_II

CLKBUF_LVCMOS15

CLKBUF_LVCMOS18

CLKBUF_LVCMOS25

CLKBUF_LVCMOS5

CLKBUF_LVDS

CLKBUF_LVPECL

CLKBUF_PCI

CLKBUF_PCIX

CLKBUF_SSTL2_I

CLKBUF_SSTL2_II

CLKBUF_SSTL3_I

CLKBUF_SSTL3_II

CLKBUFF

CLKBUFF_0

CLKBUFI

CLKBUFR

CLKBUFR_0

CLKDIVDLY

CLKDIVDLY1

CLKDLY

CLKEXT_0

CLKINT

CLKINT_0

CLKINT_W

CLKINTF

CLKINTF_0

CLKINTI

CLKINTR

CLKINTR_0

CLKIO

CLKIO_DIFF

CLKMUX

CLKMUX_0

CLKOUT_E

CLKOUT_W

CLKTBUF

CLKTBUFI

CLKTMUX

CLKTRI_0

CM7

CM8

CM8A

CM8BUFF

CM8F

CM8INV

CMA9

CMAF

CMB3

CMB7

CMBB

CMBF

CMEA

CMEB

CMEE

CMEF

CMF1

CMF2

CMF2

CMF3

CMF4

CMF5

CMF6

CMF7

CMF8

CMF9

CMFA

CMFB

CMFC

CMFD

CMFE

CNT4A

CNT4B

comb

COMP4

COMP4A

CPROPA

CPROPB

CS1

CS2

CSA1

CSA2

CSA2A

CSA2H

CSA3

CSA3B

CSA3H

CSA4

CSA5

CY2A

CY2B

DDR_FIFO

DDR_OUT

DDR_REG

DEC2X4

DEC2X4A

DEC3X8

DEC3X8A

DEC4X16A

DECE2X4

DECE2X4A

DECE3X8

DECE3X8A

DECETH

DECETL

DEPETH

DEPETL

DF1

DF1_0

DF1_1

DF1_CC

DF1_CC_0

DF1_CC_1

DF1A

DF1A_0

DF1A_1

DF1A_CC

DF1A_CC_0

DF1A_CC_1

DF1B

DF1B_0

DF1B_1

DF1B_CC

DF1B_CC_0

DF1B_CC_1

DF1C

DF1C_0

DF1C_1

DF1C_CC

DF1C_CC_0

DF1C_CC_1

DFC1

DFC1_0

DFC1_1

DFC1_CC

DFC1_CC_0

DFC1_CC_1

DFC1A

DFC1A_0

DFC1A_1

DFC1A_CC

DFC1A_CC_0

DFC1A_CC_1

DFC1B

DFC1B_0

DFC1B_1

DFC1B_CC

DFC1B_CC_0

DFC1B_CC_1

DFC1C

DFC1C

DFC1C_0

DFC1C_1

DFC1D

DFC1D_0

DFC1D_1

DFC1D_CC

DFC1D_CC_0

DFC1D_CC_1

DFC1E

DFC1E_0

DFC1E_1

DFC1F

DFC1F_0

DFC1F_1

DFC1G

DFC1G_0

DFC1G_1

DFE

DFE_0

DFE_1

DFE_CC

DFE_CC_0

DFE_CC_1

DFE1B

DFE1B_0

DFE1B_1

DFE1B_CC

DFE1B_CC_0

DFE1B_CC_1

DFE1C

DFE1C_0

DFE1C_1

DFE1C_CC

DFE1C_CC_0

DFE1C_CC_1

DFE2D

DFE2D_0

DFE2D_1

DFE3A

DFE3A_0

DFE3A_1

DFE3A_TMR

DFE3B

DFE3B_0

DFE3B_1

DFE3B_TMR

DFE3C

DFE3C_0

DFE3C_1

DFE3C_TMR

DFE3D

DFE3D_0

DFE3D_1

DFE3D_TMR

DFE4

DFE4_0

DFE4_1

DFE4A

DFE4A_0

DFE4A_1

DFE4B

DFE4B_0

DFE4B_1

DFE4C

DFE4C_0

DFE4C_1

DFE4F

DFE4G

DFEA

DFEA_0

DFEA_1

DFEA_CC

DFEA_CC_0

DFEA_CC_1

DFEB

DFEB_0

DFEB_1

DFEC

DFEC_0

DFEC_1

DFED

DFED_0

DFED_1

DFEG

DFEG_TMR

DFEH

DFEH_TMR

DFF

DFFB

DFFBI

DFFC

DFFCI

DFFI

DFFL

DFFLB

DFFLBI

DFFLC

DFFLCI

DFFLI

DFFLS

DFFLSI

DFFS

DFFSI

DFI0

DFI0C0

DFI0C1

DFI0E0

DFI0E0C0

DFI0E0C0_CC

DFI0E0C1

DFI0E0C1_CC

DFI0E0P0

DFI0E0P0_CC

DFI0E0P1

DFI0E0P1_CC

DFI0E1

DFI0E1C0

DFI0E1C0_CC

DFI0E1C1

DFI0E1C1_CC

DFI0E1P0

DFI0E1P0_CC

DFI0E1P1

DFI0E1P1_CC

DFI0P0

DFI0P1

DFI0P1C1

DFI1

DFI1C0

DFI1C1

DFI1E0

DFI1E0C0

DFI1E0C0_CC

DFI1E0C1

DFI1E0C1_CC

DFI1E0P0

DFI1E0P0_CC

DFI1E0P1

DFI1E0P1_CC

DFI1E1

DFI1E1C0

DFI1E1C0_CC

DFI1E1C1

DFI1E1C1_CC

DFI1E1P0

DFI1E1P0_CC

DFI1E1P1

DFI1E1P1_CC

DFI1P0

DFI1P1

DFI1P1C1

DFM

DFM_0

DFM_1

DFM_CC

DFM_CC_0

DFM_CC_1

DFM1B

DFM1B_0

DFM1B_1

DFM1B_CC

DFM1B_CC_0

DFM1B_CC_1

DFM1C

DFM1C_0

DFM1C_1

DFM1C_CC

DFM1C_CC_0

DFM1C_CC_1

DFM3

DFM3_0

DFM3_1

DFM3B

DFM3B_0

DFM3B_1

DFM3E

DFM3E_0

DFM3E_1

DFM3F

DFM3F_0

DFM3F_1

DFM3G

DFM3G_0

DFM3G_1

DFM4

DFM4_0

DFM4_1

DFM4A

DFM4A_0

DFM4A_1

DFM4B

DFM4B_0

DFM4B_1

DFM4C

DFM4C_0

DFM4C_1

DFM4D

DFM4D

DFM4D_0

DFM4D_1

DFM4E

DFM4E_0

DFM4E_1

DFM5A

DFM5A_1

DFM5B

DFM5B_0

DFM5B_1

DFM6A

DFM6B

DFM7A

DFM7A_TMR

DFM7B

DFM7B_TMR

DFM8A

DFM8B

DFMA

DFMA_0

DFMA_1

DFMA_CC

DFMA_CC_0

DFMA_CC_1

DFMB

DFMB_0

DFMB_1

DFME1A

DFME1A_0

DFME1A_1

DFME1B

DFME2A

DFME2B

DFME3A

DFME3B

DFMEG

DFMEH

DFMPCA

DFMPCB

DFN0

DFN0C0

DFN0C1

DFN0E0

DFN0E0C0

DFN0E0C0_CC

DFN0E0C1

DFN0E0C1_CC

DFN0E0P0

DFN0E0P0_CC

DFN0E0P1

DFN0E0P1_CC

DFN0E1

DFN0E1C0

DFN0E1C0_CC

DFN0E1C1

DFN0E1C1_CC

DFN0E1P0

DFN0E1P0_CC

DFN0E1P1

DFN0E1P1_CC

DFN0P0

DFN0P1

DFN0P1C1

DFN1

DFN1C0

DFN1C1

DFN1E0

DFN1E0C0

DFN1E0C0_CC

DFN1E0C1

DFN1E0C1_CC

DFN1E0P0

DFN1E0P0_CC

DFN1E0P1

DFN1E0P1_CC

DFN1E1

DFN1E1C0

DFN1E1C0_CC

DFN1E1C1

DFN1E1C1_CC

DFN1E1P0

DFN1E1P0_CC

DFN1E1P1

DFN1E1P1_CC

DFN1P0

DFN1P1

DFN1P1C1

DFP1

DFP1_0

DFP1_1

DFP1_CC

DFP1A

DFP1A_0

DFP1A_1

DFP1A_CC

DFP1B

DFP1B_0

DFP1B_1

DFP1B_CC

DFP1B_CC_0

DFP1B_CC_1

DFP1C

DFP1C_0

DFP1C_1

DFP1D

DFP1D_0

DFP1D_1

DFP1D_CC

DFP1E

DFP1E_0

DFP1E_1

DFP1F

DFP1F_0

DFP1F_1

DFP1G

DFP1G_0

DFP1G_1

DFPC

DFPC_0

DFPC_1

DFPC_CC

DFPC_TMR

DFPCA

DFPCA_0

DFPCA_1

DFPCA_CC

DFPCA_TMR

DFPCB

DFPCC

DL1

DL1_0

DL1A

DL1A_0

DL1B

DL1B_0

DL1C

DL1C_0

DL2A

DL2A_0

DL2A_TMR

DL2B

DL2B_0

DL2B_TMR

DL2C

DL2C_0

DL2C_TMR

DL2D

DL2D_0

DL2D_TMR

DLC

DLC_0

DLC_TMR

DLC1

DLC1_0

DLC1_TMR

DLC1A

DLC1A_0

DLC1A_TMR

DLC1F

DLC1F_0

DLC1F_1

DLC1G

DLC1G_0

DLC1G_1

DLC8A

DLCA

DLCA_0

DLCA_TMR

DLE

DLE_0

DLE1D

DLE1D_0

DLE2A

DLE2A_0

DLE2B

DLE2B_0

DLE2B_TMR

DLE2C

DLE2C_0

DLE2C_TMR

DLE3A

DLE3A_0

DLE3B

DLE3B_0

DLE3B_TMR

DLE3C

DLE3C_0

DLE3C_TMR

DLE4A

DLE8

DLEA

DLEA_0

DLEB

DLEB_0

DLEC

DLEC_0

DLI0

DLI0C0

DLI0C1

DLI0P0

DLI0P1

DLI0P1C1

DLI1

DLI1C0

DLI1C1

DLI1P0

DLI1P1

DLI1P1C1

DLM

DLM_0

DLM2

DLM2_TMR

DLM2A

DLM2A_0

DLM2B

DLM2B_TMR

DLM3

DLM3_TMR

DLM3A

DLM3A_TMR

DLM4

DLM4A

DLM4A

DLM8

DLM8A

DLM8B

DLMA

DLMA_0

DLME1A

DLME1A_0

DLN0

DLN0C0

DLN0C1

DLN0P0

DLN0P1

DLN0P1C1

DLN1

DLN1C0

DLN1C1

DLN1P0

DLN1P1

DLN1P1C1

DLP1

DLP1_0

DLP1A

DLP1A_0

DLP1B

DLP1B_0

DLP1C

DLP1C_0

DLP1D

DLP1D_0

DLP1E

DLP1E_0

DMUX

DXAND7

DXAOI6

DXAX7

DXNAND7

DXRAM4

DXRAM8

DYNPLL

FA1

FA1A

FA1A_0

FA1A_1

FA1B

FA1B_0

FA1B_1

FA2A

FA2A_0

FA2A_1

FADD10

FADD11A

FADD12

FADD16

FADD24

FADD32

FADD8

FADD9

FCBUF

FCEND_BUFF

FCEND_INV

FCINIT_BUFF

FCINIT_GND

FCINIT_INV

FCINIT_VCC

fclkmod

FCTD16C

FCTD8A

FCTD8B

FCTU16C

FCTU8A

FCTU8B

FECTH

FECTL

FECTMH

FECTML

FEPTH

FEPTL

FEPTMH

FEPTML

FIFO256x9AA

FIFO256x9AAP

FIFO256x9ASR

FIFO256x9ASRP

FIFO256x9AST

FIFO256x9ASTP

FIFO256x9SA

FIFO256x9SAP

FIFO256x9SSR

FIFO256x9SSRP

FIFO256x9SST

FIFO256x9SSTP

FIFO4K18

FIFO64K36

FIFO64K36F

GAND2

GAND2

GL25

GL25_IB4_1

GL25_IB4_1

GL25LP

GL25LP_IB4_1

GL25LPS

GL25LPS_IB4_1

GL25LPU

GL25LPU_IB4_1

GL25LPUS

GL25LPUS_IB4_1

GL25S

GL25S_IB4_1

GL25U

GL25U_IB4_1

GL25US

GL25US_IB4_1

GL33

GL33_IB4_1

GL33S

GL33S_IB4_1

GL33U

GL33U_IB4_1

GL33US

GLIB25

GLIB25LP

GLIB25LPS

GLIB25LPU

GLIB25LPUS

GLIB25S

GLIB25U

GLIB25US

GLIB33

GLIB33S

GLIB33U

GLIB33US

GLINT

GLINT_AUTO

GLMIB25

GLMIB25LP

GLMIB25LPS

GLMIB25LPU

GLMIB25LPUS

GLMIB25S

GLMIB25U

GLMIB25US

GLMIB33

GLMIB33S

GLMIB33U

GLMIB33US

GLMIBL25

GLMIBL25LP

GLMIBL25LPS

GLMIBL25LPU

GLMIBL25LPUS

GLMIBL25S

GLMIBL25U

GLMIBL25US

GLMIBL33

GLMIBL33S

GLMIBL33U

GLMIBL33US

GLMIOB25HH

GLMIOB25HHU

GLMIOB25HL

GLMIOB25HLU

GLMIOB25HN

GLMIOB25HNU

GLMIOB25LH

GLMIOB25LHU

GLMIOB25LL

GLMIOB25LLU

GLMIOB25LN

GLMIOB25LNU

GLMIOB25LPHH

GLMIOB25LPHHU

GLMIOB25LPHL

GLMIOB25LPHLU

GLMIOB25LPHN

GLMIOB25LPHNU

GLMIOB25LPLH

GLMIOB25LPLHU

GLMIOB25LPLL

GLMIOB25LPLLU

GLMIOB25LPLN

GLMIOB25LPLNU

GLMIOB33LH

GLMIOB33LHU

GLMIOB33LL

GLMIOB33LLU

GLMIOB33LN

GLMIOB33LNU

GLMIOB33PH

GLMIOB33PHU

GLMIOB33PL

GLMIOB33PLU

GLMIOB33PN

GLMIOB33PNU

GLMIOBL25HH

GLMIOBL25HHU

GLMIOBL25HL

GLMIOBL25HLU

GLMIOBL25HN

GLMIOBL25HNU

GLMIOBL25LH

GLMIOBL25LHU

GLMIOBL25LL

GLMIOBL25LLU

GLMIOBL25LN

GLMIOBL25LNU

GLMIOBL25LPHH

GLMIOBL25LPHHU

GLMIOBL25LPHL

GLMIOBL25LPHLU

GLMIOBL25LPHN

GLMIOBL25LPHNU

GLMIOBL25LPLH

GLMIOBL25LPLHU

GLMIOBL25LPLL

GLMIOBL25LPLLU

GLMIOBL25LPLN

GLMIOBL25LPLNU

GLMIOBL33LH

GLMIOBL33LHU

GLMIOBL33LL

GLMIOBL33LLU

GLMIOBL33LN

GLMIOBL33LNU

GLMIOBL33PH

GLMIOBL33PHU

GLMIOBL33PL

GLMIOBL33PLU

GLMIOBL33PN

GLMIOBL33PNU

GLMX25HH

GLMX25HHU

GLMX25HL

GLMX25HLU

GLMX25HN

GLMX25HNU

GLMX25LH

GLMX25LHU

GLMX25LL

GLMX25LLU

GLMX25LN

GLMX25LNU

GLMX25LPHH

GLMX25LPHHU

GLMX25LPHL

GLMX25LPHLU

GLMX25LPHN

GLMX25LPHNU

GLMX25LPLH

GLMX25LPLHU

GLMX25LPLL

GLMX25LPLLU

GLMX25LPLN

GLMX25LPLNU

GLMX33LH

GLMX33LHU

GLMX33LL

GLMX33LLU

GLMX33LN

GLMX33LNU

GLMX33PH

GLMX33PHU

GLMX33PL

GLMX33PLU

GLMX33PN

GLMX33PNU

GLMXL25HH

GLMXL25HHU

GLMXL25HL

GLMXL25HLU

GLMXL25HN

GLMXL25HNU

GLMXL25LH

GLMXL25LHU

GLMXL25LL

GLMXL25LLU

GLMXL25LN

GLMXL25LNU

GLMXL25LPHH

GLMXL25LPHHU

GLMXL25LPHL

GLMXL25LPHLU

GLMXL25LPHN

GLMXL25LPHNU

GLMXL25LPLH

GLMXL25LPLHU

GLMXL25LPLL

GLMXL25LPLLU

GLMXL25LPLN

GLMXL25LPLNU

GLMXL33LH

GLMXL33LHU

GLMXL33LL

GLMXL33LLU

GLMXL33LN

GLMXL33LNU

GLMXL33PH

GLMXL33PHU

GLMXL33PL

GLMXL33PLU

GLMXL33PN

GLMXL33PNU

GLPE

GLPEMIB

GMX4

GNAND2

GND

GNOR2

GOR2

GXOR2

HA1

HA1_0

HA1_1

HA1A

HA1A_0

HA1A_1

HA1B

HA1B_0

HA1B_1

HA1C

HA1C_0

HA1C_1

HARD_JKF

HCLK_0

HCLKBUF

HCLKBUF_0

HCLKBUF_DIFF_H

HCLKBUF_GTLP25

HCLKBUF_GTLP33

HCLKBUF_H

HCLKBUF_HSTL_I

HCLKBUF_LVCMOS15

HCLKBUF_LVCMOS18

HCLKBUF_LVCMOS25

HCLKBUF_LVDS

HCLKBUF_LVPECL

HCLKBUF_PCI

HCLKBUF_PCIX

HCLKBUF_SSTL2_I

HCLKBUF_SSTL2_II

HCLKBUF_SSTL3_I

HCLKBUF_SSTL3_II

HCLKINT

HCLKMUX

IB25

IB25

IB25_GL1_4

IB25LP

IB25LP_GL1_4

IB25LPS

IB25LPS_GL1_4

IB25LPU

IB25LPU_GL1_4

IB25LPUS

IB25LPUS_GL1_4

IB25S

IB25S_GL1_4

IB25U

IB25U_GL1_4

IB25US

IB25US_GL1_4

IB33

IB33_GL1_4

IB33S

IB33S_GL1_4

IB33U

IB33U_GL1_4

IB33US

IB33US_GL1_4

IBDL

IBUF

ICMP4

ICMP8

IDREG1

IDREG2

INBUF

INBUF_DIFF_H

INBUF_GTL18

INBUF_GTL25

INBUF_GTL33

INBUF_GTLP18

INBUF_GTLP25

INBUF_GTLP33

INBUF_H

INBUF_HSTL_I

INBUF_HSTL_II

INBUF_LVCMOS15

INBUF_LVCMOS15D

INBUF_LVCMOS15U

INBUF_LVCMOS18

INBUF_LVCMOS18D

INBUF_LVCMOS18U

INBUF_LVCMOS25

INBUF_LVCMOS25D

INBUF_LVCMOS25U

INBUF_LVCMOS5

INBUF_LVCMOS5D

INBUF_LVCMOS5U

INBUF_LVDS

INBUF_LVPECL

INBUF_PCI

INBUF_PCIX

INBUF_SSTL2_I

INBUF_SSTL2_II

INBUF_SSTL3_I

INBUF_SSTL3_II

INV

INV3

INV4

INVA

INVD

iob

iob

iob1

iob2

IOB25HH

IOB25HHU

IOB25HL

IOB25HLU

IOB25HN

IOB25HNU

IOB25LH

IOB25LHU

IOB25LL

IOB25LLU

IOB25LN

IOB25LNU

IOB25LPHH

IOB25LPHHU

IOB25LPHL

IOB25LPHLU

IOB25LPHN

IOB25LPHNU

IOB25LPLH

IOB25LPLHU

IOB25LPLL

IOB25LPLLU

IOB25LPLN

IOB25LPLNU

IOB33LH

IOB33LHU

IOB33LL

IOB33LLU

IOB33LN

IOB33LNU

IOB33PH

IOB33PHU

IOB33PL

IOB33PLU

IOB33PN

IOB33PNU

IOBCTRL_E

IOBCTRL_H

IOBCTRL_W

IOBI_IB_OB_EB

IOBI_IB_OB_ER

IOBI_IB_OB_ERC

IOBI_IB_OB_ERE

IOBI_IB_OB_EREC

IOBI_IB_OB_EREP

IOBI_IB_OB_ERP

IOBI_IB_OR_EB

IOBI_IB_OR_ER

IOBI_IB_ORC_EB

IOBI_IB_ORC_ERC

IOBI_IB_ORE_EB

IOBI_IB_ORE_ERE

IOBI_IB_OREC_EB

IOBI_IB_OREC_EREC

IOBI_IB_OREP_EB

IOBI_IB_OREP_EREP

IOBI_IB_ORP_EB

IOBI_IB_ORP_ERP

IOBI_ID_OD_EB

IOBI_ID_OD_ERC

IOBI_IR_OB_EB

IOBI_IR_OB_ER

IOBI_IR_OB_ERE

IOBI_IR_OR_EB

IOBI_IR_OR_ER

IOBI_IR_ORE_EB

IOBI_IR_ORE_ERE

IOBI_IRC_OB_EB

IOBI_IRC_OB_ERC

IOBI_IRC_OB_EREC

IOBI_IRC_ORC_EB

IOBI_IRC_ORC_ERC

IOBI_IRC_OREC_EB

IOBI_IRC_OREC_EREC

IOBI_IRE_OB_EB

IOBI_IRE_OB_ER

IOBI_IRE_OB_ERE

IOBI_IRE_OR_EB

IOBI_IRE_OR_ER

IOBI_IRE_ORE_EB

IOBI_IRE_ORE_ERE

IOBI_IREC_OB_EB

IOBI_IREC_OB_ERC

IOBI_IREC_OB_EREC

IOBI_IREC_ORC_EB

IOBI_IREC_ORC_ERC

IOBI_IREC_OREC_EB

IOBI_IREC_OREC_EREC

IOBI_IREP_OB_EB

IOBI_IREP_OB_EREP

IOBI_IREP_OB_ERP

IOBI_IREP_OREP_EB

IOBI_IREP_OREP_EREP

IOBI_IREP_ORP_EB

IOBI_IRP_OB_EB

IOBI_IRP_OB_EREP

IOBI_IRP_OB_ERP

IOBI_IRP_OREP_EB

IOBI_IRP_OREP_EREP

IOBI_IRP_ORP_EB

IOBI_IRP_ORP_ERP

IOBL25HH

IOBL25HHU

IOBL25HL

IOBL25HLU

IOBL25HN

IOBL25HNU

IOBL25LH

IOBL25LHU

IOBL25LL

IOBL25LLU

IOBL25LN

IOBL25LNU

IOBL25LPHH

IOBL25LPHHU

IOBL25LPHL

IOBL25LPHLU

IOBL25LPHN

IOBL25LPHNU

IOBL25LPLH

IOBL25LPLHU

IOBL25LPLL

IOBL25LPLLU

IOBL25LPLN

IOBL25LPLNU

IOBL33LH

IOBL33LHU

IOBL33LL

IOBL33LLU

IOBL33LN

IOBL33LNU

IOBL33PH

IOBL33PHU

IOBL33PL

IOBL33PLU

IOBL33PN

IOBL33PNU

IOCLK_0

IOCLKBUF

ioclkmod

IODFE

IODFEC

IODFEP

IOFIFO

IOFIFO_BIBUF

IOFIFO_BIDIRINFIFO

IOFIFO_BIDIROUTFIFO

IOFIFO_INBUF

IOFIFO_INFIFO

IOFIFO_INPUT

IOFIFO_INPUT_BCTL

IOFIFO_INPUT_BCTL_BIBUF

IOFIFO_INPUT_BIBUF

IOFIFO_INPUT_DDR

IOFIFO_INPUT_DDR_BCTL

IOFIFO_INPUT_DDR_BCTL_BIBUF

IOFIFO_INPUT_DDR_BIBUF

IOFIFO_INPUT_DIFF

IOFIFO_INPUT_DIFF_BCTL

IOFIFO_INPUT_DIFF_DDR

IOFIFO_INPUT_DIFF_DDR_BCTL

IOFIFO_OUTBUF

IOFIFO_OUTFIFO

IOFIFO_OUTPUT

IOFIFO_OUTPUT_BCTL

IOFIFO_OUTPUT_BCTL_BIBUF

IOFIFO_OUTPUT_BIBUF

IOFIFO_OUTPUT_DIFF

IOFIFO_OUTPUT_DIFF_BCTL

IOFIFOCTL

IOFIFOCTL_OUT

IOI_BUFF

IOI_DFEG

IOI_DFEH

IOI_FCLK_BUFF

IOI_FCLK_EN_BUFF

IOI_RCLK_BUFF

IOI_RCLK_EN_BUFF

IOIN_IB

IOIN_ID

IOIN_IR

IOIN_IRC

IOIN_IRE

IOIN_IREC

IOIN_IREP

IOIN_IRP

IOLOGIC_0

iolr

iolr

iolr

IOOE_BUFF

IOOE_DFEG

IOOE_DFEH

IOOE_FCLK

IOOE_FCLK_BUFF

IOOE_FCLK_CLR_EN

IOOE_FCLK_EN_BUFF

IOOE_OUT_FCLK

IOOE_OUT_FCLK_CLR_EN

IOOE_OUT_RCLK

IOOE_OUT_RCLK_CLR_EN

IOOE_RCLK

IOOE_RCLK_BUFF

IOOE_RCLK_CLR_EN

IOOE_RCLK_EN_BUFF

IOPAD_BI

IOPAD_BI_D

IOPAD_BI_D

IOPAD_BI_U

IOPAD_IN

IOPAD_IN_D

IOPAD_IN_U

IOPAD_TRI

IOPAD_TRI_D

IOPAD_TRI_U

IOPADN_IN

IOPADN_OUT

IOPADN_TRI

IOPADP_IN

IOPADP_TRI

IOPCL_0

IOPCLBUF

iopclmod

iot

iot

iot1

iot2

IOTRI_OB_EB

IOTRI_OB_ER

IOTRI_OB_ERC

IOTRI_OB_ERE

IOTRI_OB_EREC

IOTRI_OB_EREP

IOTRI_OB_ERP

IOTRI_OD_EB

IOTRI_OD_ERC

IOTRI_OR_EB

IOTRI_OR_ER

IOTRI_ORC_EB

IOTRI_ORC_ERC

IOTRI_ORE_EB

IOTRI_ORE_ERE

IOTRI_OREC_EB

IOTRI_OREC_EREC

IOTRI_OREP_EB

IOTRI_OREP_EREP

IOTRI_ORP_EB

IOTRI_ORP_ERP

IR

IRCELL1

IRCELL2

IREC

IREG1

IREG2

IREP

IRI

JKF

JKF_0

JKF_0

JKF_1

JKF1B

JKF1B_0

JKF1B_1

JKF2A

JKF2A_0

JKF2A_1

JKF2B

JKF2B_0

JKF2B_1

JKF2C

JKF2C_0

JKF2C_1

JKF2D

JKF2D_0

JKF2D_1

JKF3A

JKF3A_0

JKF3A_1

JKF3B

JKF3B_0

JKF3B_1

JKF3C

JKF3C_0

JKF3C_1

JKF3D

JKF3D_0

JKF3D_1

JKF4B

JKF4B_0

JKF4B_1

JKFPC

JKFPC_0

JKFPC_1

LD

LDB

LDBI

LDC

LDCI

LDI

LDL

LDLB

LDLBI

LDLC

LDLCI

LDLI

LDLS

LDLSI

LDS

LDSI

MAJ3

MAJ3X

MCMP16

MCMPC2

MCMPC4

MCMPC8

MIN3

MIN3X

MIN3XI

MULT1

MUX2H

MUX2L

MX16

MX2

MX2A

MX2B

MX2C

MX4

MX8

MX8A

MXC1

MXT

NAND2

NAND2A

NAND2B

NAND2FT

NAND3

NAND3_0

NAND3_1

NAND3A

NAND3B

NAND3C

NAND3FFT

NAND3FTT

NAND4

NAND4_0

NAND4_1

NAND4A

NAND4A_0

NAND4A_1

NAND4B

NAND4B_0

NAND4B_1

NAND4C

NAND4D

NAND5B

NAND5C

NAND5D

NAND7

NMM

NMMHH

NMMHL

NMMLH

nomod

NOR2

NOR2A

NOR2B

NOR2FT

NOR3

NOR3A

NOR3C

NOR3FFT

NOR3FTT

NOR4

NOR4_0

NOR4_1

NOR4A

NOR4B

NOR4C

NOR4C_0

NOR4C_1

NOR4D

NOR4D_0

NOR4D_1

NOR5B

NOR5C

NOR5D

NUBBLE

OA1

OA1A

OA1B

OA1C

OA2

OA21

OA21FTF

OA21FTT

OA21TTF

OA2A

OA3

OA3A

OA3B

OA4

OA4A

OA5

OAI1

OAI21

OAI21FTF

OAI21FTT

OAI21TTF

OAI2A

OAI3

OAI3_0

OAI3_1

OAI3A

OB25HH

OB25HL

OB25HN

OB25LH

OB25LL

OB25LN

OB25LPHH

OB25LPHL

OB25LPHN

OB25LPLH

OB25LPLL

OB25LPLN

OB33LH

OB33LL

OB33LN

OB33PH

OB33PL

OB33PN

OBDL1_0

OBDLHS

OBDLLS

OBHS

OBLS

OBUFTH

OBUFTL

OR2

OR2A

OR2B

OR3

OR3A

OR3B

OR3C

OR3C_0

OR3C_1

OR3FFT

OR3FTT

OR4

OR4A

OR4B

OR4B_0

OR4B_1

OR4C

OR4C_0

OR4C_1

OR4D

OR4D_0

OR4D_1

OR5A

OR5B

OR5C

ORECTH

ORECTL

OREPTH

OREPTL

ORH

ORIH

ORITH

ORTH

OTB25HH

OTB25HL

OTB25HN

OTB25LH

OTB25LL

OTB25LN

OTB25LPHH

OTB25LPHL

OTB25LPHN

OTB25LPLH

OTB25LPLL

OTB25LPLN

OTB33LH

OTB33LL

OTB33LN

OTB33PH

OTB33PL

OTB33PN

OTBL25HH

OTBL25HL

OTBL25HN

OTBL25LH

OTBL25LL

OTBL25LN

OTBL25LPHH

OTBL25LPHL

OTBL25LPHN

OTBL25LPLH

OTBL25LPLL

OTBL25LPLN

OTBL33LH

OTBL33LL

OTBL33LN

OTBL33PH

OTBL33PL

OTBL33PN

OUTBUF

OUTBUF_DIFF_H

OUTBUF_F_12

OUTBUF_F_16

OUTBUF_F_2

OUTBUF_F_24

OUTBUF_F_36

OUTBUF_F_4

OUTBUF_F_6

OUTBUF_F_8

OUTBUF_GTL18

OUTBUF_GTL25

OUTBUF_GTL33

OUTBUF_GTLP18

OUTBUF_GTLP25

OUTBUF_GTLP33

OUTBUF_H

OUTBUF_HSTL_I

OUTBUF_HSTL_II

OUTBUF_LVCMOS15

OUTBUF_LVCMOS18

OUTBUF_LVCMOS25

OUTBUF_LVCMOS5

OUTBUF_LVDS

OUTBUF_LVPECL

OUTBUF_PCI

OUTBUF_PCIX

OUTBUF_S_12

OUTBUF_S_16

OUTBUF_S_2

OUTBUF_S_24

OUTBUF_S_36

OUTBUF_S_4

OUTBUF_S_6

OUTBUF_S_8

OUTBUF_SSTL2_I

OUTBUF_SSTL2_II

OUTBUF_SSTL3_I

OUTBUF_SSTL3_II

PLL

PLL_E

PLL_H

PLL_W

PLLCORE

PLLFB

PLLHCLK

PLLINT

PLLOUT

PLLRCLK

PRD9A

PRD9A

PRD9A

PWR

qclk

QCLKBIBUF

QCLKBIBUFI

QCLKBUF

QCLKBUF_0

QCLKBUFF_0

QCLKBUFI

QCLKBUFR_0

QCLKINT

QCLKINT_0

QCLKINT_LARGE

qclkint1

qclkint2

QCLKINTF_0

QCLKINTI

QCLKINTR_0

qclkiob

qclkiot

QCLKMUX

QDRIVER

RAM

ram1r

ram1w

RAM256x9AA

RAM256x9AAP

RAM256x9ASR

RAM256x9ASRP

RAM256x9AST

RAM256x9ASTP

RAM256x9SA

RAM256x9SAP

RAM256x9SSR

RAM256x9SSRP

RAM256x9SST

RAM256x9SSTP

ram2r

ram2w

ram3r

ram3w

RAM4FA

RAM4FF

RAM4FR

RAM4K9

RAM4K9

ram4r

RAM4RA

RAM4RF

RAM4RR

ram4w

RAM512X18

RAM64K36

RAM64K36C

RAM64K36F

RAM64K36P

RAM8FA

RAM8FF

RAM8FR

RAM8RA

RAM8RF

RAM8RR

RCLKBUF_DIFF_H

RCLKMUX

REGE8A

REGE8B

regslewb

regslewt

seq

SFCNTECP1

SFCNTELDCP1

slewb

slewt

SMULT8

SRAM256x9

SRCNTECP1

SRCNTELDCP1

SREG4A

SREG8A

SUB1

SUMX1A

TA00

TA02

TA04

TA07

TA08

TA10

TA11

TA138

TA139

TA150

TA151

TA153

TA153_0

TA153_1

TA154

TA157

TA160

TA160A

TA161

TA164

TA169

TA174

TA175

TA181

TA190

TA191

TA194

TA195

TA20

TA21

TA269

TA27

TA273

TA280

TA32

TA377

TA40

TA42

TA51

TA54

TA55

TA688

TA86

TAP

TBDL1_0

TBDLHS

TBDLLS

TBHS

TBLS

TDOCELL

TF1A

TF1A_0

TF1A_0

TF1A_0

TF1B

TF1B_0

TF1B_0

TF1B_0

TIM1

TIM2

TRIBUFF

TRIBUFF_F_12

TRIBUFF_F_12D

TRIBUFF_F_12U

TRIBUFF_F_16

TRIBUFF_F_16D

TRIBUFF_F_16U

TRIBUFF_F_2

TRIBUFF_F_24

TRIBUFF_F_24D

TRIBUFF_F_24U

TRIBUFF_F_2D

TRIBUFF_F_2U

TRIBUFF_F_36

TRIBUFF_F_36D

TRIBUFF_F_36U

TRIBUFF_F_4

TRIBUFF_F_4D

TRIBUFF_F_4U

TRIBUFF_F_6

TRIBUFF_F_6D

TRIBUFF_F_6U

TRIBUFF_F_8

TRIBUFF_F_8D

TRIBUFF_F_8U

TRIBUFF_GTL18

TRIBUFF_GTL25

TRIBUFF_GTL33

TRIBUFF_GTLP18

TRIBUFF_GTLP25

TRIBUFF_GTLP33

TRIBUFF_H

TRIBUFF_HSTL_I

TRIBUFF_HSTL_II

TRIBUFF_LVCMOS15

TRIBUFF_LVCMOS15D

TRIBUFF_LVCMOS15U

TRIBUFF_LVCMOS18

TRIBUFF_LVCMOS18D

TRIBUFF_LVCMOS18U

TRIBUFF_LVCMOS25

TRIBUFF_LVCMOS25D

TRIBUFF_LVCMOS25U

TRIBUFF_LVCMOS5

TRIBUFF_LVCMOS5D

TRIBUFF_LVCMOS5U

TRIBUFF_PCI

TRIBUFF_PCIX

TRIBUFF_S_12

TRIBUFF_S_12D

TRIBUFF_S_12U

TRIBUFF_S_16

TRIBUFF_S_16D

TRIBUFF_S_16U

TRIBUFF_S_2

TRIBUFF_S_24

TRIBUFF_S_24D

TRIBUFF_S_24U

TRIBUFF_S_2D

TRIBUFF_S_2U

TRIBUFF_S_36

TRIBUFF_S_36D

TRIBUFF_S_36U

TRIBUFF_S_4

TRIBUFF_S_4D

TRIBUFF_S_4U

TRIBUFF_S_6

TRIBUFF_S_6D

TRIBUFF_S_6U

TRIBUFF_S_8

TRIBUFF_S_8D

TRIBUFF_S_8U

TRIBUFF_SSTL2_I

TRIBUFF_SSTL2_II

TRIBUFF_SSTL3_I

TRIBUFF_SSTL3_II

UAUX_DIFF_IN

UAUX_DIFF_OUT

UAUX_IOBI_IB_OB_EB

UAUX_IOBI_IB_OB_ER

UAUX_IOBI_IB_OB_ERC

UAUX_IOBI_IB_OB_ERE

UAUX_IOBI_IB_OB_EREC

UAUX_IOBI_IB_OB_EREP

UAUX_IOBI_IB_OB_ERP

UAUX_IOBI_IB_OR_EB

UAUX_IOBI_IB_OR_ER

UAUX_IOBI_IB_ORC_EB

UAUX_IOBI_IB_ORC_ERC

UAUX_IOBI_IB_ORE_EB

UAUX_IOBI_IB_ORE_ERE

UAUX_IOBI_IB_OREC_EB

UAUX_IOBI_IB_OREC_EREC

UAUX_IOBI_IB_OREP_EB

UAUX_IOBI_IB_OREP_EREP

UAUX_IOBI_IB_ORP_EB

UAUX_IOBI_IB_ORP_ERP

UAUX_IOBI_ID_OD_EB

UAUX_IOBI_ID_OD_ERC

UAUX_IOBI_IR_OB_EB

UAUX_IOBI_IR_OB_ER

UAUX_IOBI_IR_OB_ERE

UAUX_IOBI_IR_OR_EB

UAUX_IOBI_IR_OR_ER

UAUX_IOBI_IR_ORE_EB

UAUX_IOBI_IR_ORE_ERE

UAUX_IOBI_IRC_OB_EB

UAUX_IOBI_IRC_OB_ERC

UAUX_IOBI_IRC_OB_EREC

UAUX_IOBI_IRC_ORC_EB

UAUX_IOBI_IRC_ORC_ERC

UAUX_IOBI_IRC_OREC_EB

UAUX_IOBI_IRC_OREC_EREC

UAUX_IOBI_IRE_OB_EB

UAUX_IOBI_IRE_OB_ER

UAUX_IOBI_IRE_OB_ERE

UAUX_IOBI_IRE_OR_EB

UAUX_IOBI_IRE_OR_ER

UAUX_IOBI_IRE_ORE_EB

UAUX_IOBI_IRE_ORE_ERE

UAUX_IOBI_IREC_OB_EB

UAUX_IOBI_IREC_OB_ERC

UAUX_IOBI_IREC_OB_EREC

UAUX_IOBI_IREC_ORC_EB

UAUX_IOBI_IREC_ORC_ERC

UAUX_IOBI_IREC_OREC_EB

UAUX_IOBI_IREC_OREC_EREC

UAUX_IOBI_IREP_OB_EB

UAUX_IOBI_IREP_OB_EREP

UAUX_IOBI_IREP_OB_ERP

UAUX_IOBI_IREP_OREP_EB

UAUX_IOBI_IREP_OREP_EREP

UAUX_IOBI_IREP_ORP_EB

UAUX_IOBI_IREP_ORP_ERP

UAUX_IOBI_IRP_OB_EB

UAUX_IOBI_IRP_OB_EREP

UAUX_IOBI_IRP_OB_ERP

UAUX_IOBI_IRP_OREP_EB

UAUX_IOBI_IRP_OREP_EREP

UAUX_IOBI_IRP_ORP_EB

UAUX_IOBI_IRP_ORP_ERP

UAUX_IOIN_IB

UAUX_IOIN_ID

UAUX_IOIN_IR

UAUX_IOIN_IRC

UAUX_IOIN_IRE

UAUX_IOIN_IREC

UAUX_IOIN_IREP

UAUX_IOIN_IRP

UAUX_IOTRI_OB_EB

UAUX_IOTRI_OB_ER

UAUX_IOTRI_OB_ERC

UAUX_IOTRI_OB_ERE

UAUX_IOTRI_OB_EREC

UAUX_IOTRI_OB_EREP

UAUX_IOTRI_OB_ERP

UAUX_IOTRI_OD_EB

UAUX_IOTRI_OD_ERC

UAUX_IOTRI_OR_EB

UAUX_IOTRI_OR_ER

UAUX_IOTRI_ORC_EB

UAUX_IOTRI_ORC_ERC

UAUX_IOTRI_ORE_EB

UAUX_IOTRI_ORE_ERE

UAUX_IOTRI_OREC_EB

UAUX_IOTRI_OREC_EREC

UAUX_IOTRI_OREP_EB

UAUX_IOTRI_OREP_EREP

UAUX_IOTRI_ORP_EB

UAUX_IOTRI_ORP_ERP

UAUX_UNUSED

UBCELL1

UBCELL2

UCLKDIVDLY1INT

UCLKDIVDLY1IO

UCLKDIVDLYINT

UCLKDIVDLYIO

UDCNT4A

UDYN

UFIFO

UFROM

UGLBINT

UGLBIO

UGLCINT

UGLCIO

UGLINT

UGLIO

UIO_DIFF_IN

UIO_DIFF_OUT

UIO_IOBI_IB_OB_EB

UIO_IOBI_IB_OB_ER

UIO_IOBI_IB_OB_ERC

UIO_IOBI_IB_OB_ERE

UIO_IOBI_IB_OB_EREC

UIO_IOBI_IB_OB_EREP

UIO_IOBI_IB_OB_ERP

UIO_IOBI_IB_OR_EB

UIO_IOBI_IB_OR_ER

UIO_IOBI_IB_ORC_EB

UIO_IOBI_IB_ORC_ERC

UIO_IOBI_IB_ORE_EB

UIO_IOBI_IB_ORE_ERE

UIO_IOBI_IB_OREC_EB

UIO_IOBI_IB_OREC_EREC

UIO_IOBI_IB_OREP_EB

UIO_IOBI_IB_OREP_EREP

UIO_IOBI_IB_ORP_EB

UIO_IOBI_IB_ORP_ERP

UIO_IOBI_ID_OD_EB

UIO_IOBI_ID_OD_ERC

UIO_IOBI_IR_OB_EB

UIO_IOBI_IR_OB_ER

UIO_IOBI_IR_OB_ERE

UIO_IOBI_IR_OR_EB

UIO_IOBI_IR_OR_ER

UIO_IOBI_IR_ORE_EB

UIO_IOBI_IR_ORE_ERE

UIO_IOBI_IRC_OB_EB

UIO_IOBI_IRC_OB_ERC

UIO_IOBI_IRC_OB_EREC

UIO_IOBI_IRC_ORC_EB

UIO_IOBI_IRC_ORC_ERC

UIO_IOBI_IRC_OREC_EB

UIO_IOBI_IRC_OREC_EREC

UIO_IOBI_IRE_OB_EB

UIO_IOBI_IRE_OB_ER

UIO_IOBI_IRE_OB_ERE

UIO_IOBI_IRE_OR_EB

UIO_IOBI_IRE_OR_ER

UIO_IOBI_IRE_ORE_EB

UIO_IOBI_IRE_ORE_ERE

UIO_IOBI_IREC_OB_EB

UIO_IOBI_IREC_OB_ERC

UIO_IOBI_IREC_OB_EREC

UIO_IOBI_IREC_ORC_EB

UIO_IOBI_IREC_ORC_ERC

UIO_IOBI_IREC_OREC_EB

UIO_IOBI_IREC_OREC_EREC

UIO_IOBI_IREP_OB_EB

UIO_IOBI_IREP_OB_EREP

UIO_IOBI_IREP_OB_ERP

UIO_IOBI_IREP_OREP_EB

UIO_IOBI_IREP_OREP_EREP

UIO_IOBI_IREP_ORP_EB

UIO_IOBI_IREP_ORP_ERP

UIO_IOBI_IRP_OB_EB

UIO_IOBI_IRP_OB_EREP

UIO_IOBI_IRP_OB_ERP

UIO_IOBI_IRP_OREP_EB

UIO_IOBI_IRP_OREP_EREP

UIO_IOBI_IRP_ORP_EB

UIO_IOBI_IRP_ORP_ERP

UIO_IOIN_IB

UIO_IOIN_ID

UIO_IOIN_IR

UIO_IOIN_IRC

UIO_IOIN_IRE

UIO_IOIN_IREC

UIO_IOIN_IREP

UIO_IOIN_IRP

UIO_IOTRI_OB_EB

UIO_IOTRI_OB_ER

UIO_IOTRI_OB_ERC

UIO_IOTRI_OB_ERE

UIO_IOTRI_OB_EREC

UIO_IOTRI_OB_EREP

UIO_IOTRI_OB_ERP

UIO_IOTRI_OD_EB

UIO_IOTRI_OD_ERC

UIO_IOTRI_OR_EB

UIO_IOTRI_OR_ER

UIO_IOTRI_ORC_EB

UIO_IOTRI_ORC_ERC

UIO_IOTRI_ORE_EB

UIO_IOTRI_ORE_ERE

UIO_IOTRI_OREC_EB

UIO_IOTRI_OREC_EREC

UIO_IOTRI_OREP_EB

UIO_IOTRI_OREP_EREP

UIO_IOTRI_ORP_EB

UIO_IOTRI_ORP_ERP

UIO_UNUSED

UJTAG

UPLLINT

UPLLIO

URAM

URAM_18

USTDBY

UUSER

UUSERB

VAD16C

VAD16CR

VAD16SL

VAD16SM

VAD16SU

VADC16C

VADC16CR

VADC16SL

VADC16SM

VADC16SU

VCTD16C

VCTD2CP

VCTD2CU

VCTD4CL

VCTD4CM

WD_BIBUF

WD_OUTBUF

WD_TRIBUFF

wdiob

wdiot

wdlog

wdselb

wdselt

WTREE5

XA1

XA1A

XA1B

XA1C

XAI1

XAI1A

XNOR

XNOR2

XNOR2FT

XNOR3

XNOR4

XO1

XO1A

XOR

XOR2

XOR2FT

XOR3

XOR4

XOR4_FCI

ZOR3

ZOR3I