Sample Tcl script

Basic Design Flow

The following script creates a new design named prepi for the 54SXA family:

#Set up a new design

new_design -name “prepi” -family “54SXA”

#set device name, package name

set_device -die "A54SX32A" -package "176 TQFP"

#set device speed and operating conditions

set_device -speed "-1" -temprange "com" -voltrange "com"

#import netlist and pin files

import -format "edif" -netlist_naming "Generic" \

-edif_flavor "GENERIC" {prepi.edn}

import -format "pin" {prepi.pin}

compile

#layout standard mode

layout -incremental "OFF"

#extract sdf file

export -format "sdf" {prepi.sdf}

save_design {prepi.adb}

close_design

 

Note: The comment delimiter, which is the pound sign (#), must be the first non-space character on a line or the first character following a semicolon (in Tcl, commands are separated by new lines or semicolons).