Tcl command documentation conventions

The following table shows the typographical conventions used for the Tcl command syntax.

 

Syntax Notation

Description

command -argument

Commands and arguments appear in Courier New typeface.

variable

Variables appear in blue, italic Courier New typeface. You must substitute an appropriate value for the variable.

[-argument value]

 

[variable]+

 

Optional arguments begin and end with a square bracket with one exception: if the square bracket is followed by a plus sign (+), then users must specify at least one argument. The plus sign (+) indicates that items within the square brackets can be repeated. Do not enter the plus sign character.

Note: All Tcl commands are case sensitive. However, their arguments are not.

Examples

Syntax for the get_defvar command followed by a sample command:

get_defvar variable

 

get_defvar “DESIGN”

Syntax for the backannotate command followed by a sample command:

backannotate -name file_name -format format_type -language language -dir directory_name [-netlist] [-pin]

 

backannotate -dir \

 {..\design} -name "fanouttest_ba.sdf" -format "SDF" -language "VERILOG" \

-netlist

Wildcard Characters

You can use the following wildcard characters in names used in Tcl commands:

 

Wildcard

What it does

\

Interprets the next character literally

?

Matches any single character

*

Matches any string

[]

Matches any single character among those listed between brackets (that is, [A-Z] matches any single character in the A-to-Z range)

 

Note: The matching function requires that you add a slash (\) before each slash in the port, instance, or net name when using wildcards in a PDC command and when using wildcards in the Find feature of the MultiView Navigator. For example, if you have an instance named “A/B12” in the netlist, and you enter that name as “A\\/B*” in a PDC command, you will not be able to find it. In this case, you must specify the name as A\\\\/B*.

Special Characters ([ ], { }, and \)

Sometimes square brackets ([  ]) are part of the command syntax. In these cases, you must either enclose the open and closed square brackets characters with curly brackets ({ }) or precede the open and closed square brackets ([  ]) characters with a backslash (\). If you do not, you will get an error message.   

 

For example:

 

pin_assign -port {LFSR_OUT[0]} -pin 15

 

or

 

pin_assign -port LFSR_OUT\[0\] -pin 180

 

 

Note: Tcl commands are case sensitive. However, their arguments are not.

Entering Arguments on Separate Lines

To enter an argument on a separate line, you must enter a backslash (\) character at the end of the preceding line of the command as shown in the following example:

backannotate -dir \

 {..\design} -name "fanouttest_ba.sdf" -format "SDF" -language "VERILOG" \

-netlist

 

Related Topics