pci_top5(|spartanxcs40pq240-4 PCI_CORE/PCI_LC/PCI-AD/IO0/$1I2238AD<0>.PADAD<0>.OUTBUFBEL_AD<0>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO0/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO10/$1I2238AD<10>.PADAD<10>.OUTBUFBEL_AD<10>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO10/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO11/$1I2238AD<11>.PADAD<11>.OUTBUFBEL_AD<11>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO11/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO12/$1I2238AD<12>.PADAD<12>.OUTBUFBEL_AD<12>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO12/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO13/$1I2238AD<13>.PADAD<13>.OUTBUFBEL_AD<13>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO13/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO14/$1I2238AD<14>.PADAD<14>.OUTBUFBEL_AD<14>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO14/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO15/$1I2238 AD<15>.PAD AD<15>.OUTBUF BEL_AD<15>.DELAY PCI_CORE/PCI_LC/PCI-AD/IO15/IFD/$1I37 PCI_CORE/PCI_LC/PCI-AD/IO16/$1I2238 AD<16>.PAD AD<16>.OUTBUF BEL_AD<16>.DELAY PCI_CORE/PCI_LC/PCI-AD/IO16/IFD/$1I37 PCI_CORE/PCI_LC/PCI-AD/IO17/$1I2238 AD<17>.PAD AD<17>.OUTBUF BEL_AD<17>.DELAY PCI_CORE/PCI_LC/PCI-AD/IO17/IFD/$1I37 PCI_CORE/PCI_LC/PCI-AD/IO18/$1I2238 AD<18>.PAD AD<18>.OUTBUF BEL_AD<18>.DELAY PCI_CORE/PCI_LC/PCI-AD/IO18/IFD/$1I37 PCI_CORE/PCI_LC/PCI-AD/IO19/$1I2238 AD<19>.PAD AD<19>.OUTBUF BEL_AD<19>.DELAY PCI_CORE/PCI_LC/PCI-AD/IO19/IFD/$1I37 PCI_CORE/PCI_LC/PCI-AD/IO1/$1I2238AD<1>.PADAD<1>.OUTBUFBEL_AD<1>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO1/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO20/$1I2238AD<20>.PADAD<20>.OUTBUFBEL_AD<20>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO20/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO21/$1I2238AD<21>.PADAD<21>.OUTBUFBEL_AD<21>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO21/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO22/$1I2238AD<22>.PADAD<22>.OUTBUFBEL_AD<22>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO22/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO23/$1I2238AD<23>.PADAD<23>.OUTBUFBEL_AD<23>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO23/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO24/$1I2238AD<24>.PADAD<24>.OUTBUFBEL_AD<24>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO24/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO25/$1I2238AD<25>.PADAD<25>.OUTBUFBEL_AD<25>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO25/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO26/$1I2238AD<26>.PADAD<26>.OUTBUFBEL_AD<26>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO26/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO27/$1I2238AD<27>.PADAD<27>.OUTBUFBEL_AD<27>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO27/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO28/$1I2238AD<28>.PADAD<28>.OUTBUFBEL_AD<28>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO28/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO29/$1I2238AD<29>.PADAD<29>.OUTBUFBEL_AD<29>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO29/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO2/$1I2238AD<2>.PADAD<2>.OUTBUFBEL_AD<2>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO2/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO30/$1I2238AD<30>.PADAD<30>.OUTBUFBEL_AD<30>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO30/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO31/$1I2238AD<31>.PADAD<31>.OUTBUFBEL_AD<31>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO31/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO3/$1I2238AD<3>.PADAD<3>.OUTBUFBEL_AD<3>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO3/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO4/$1I2238AD<4>.PADAD<4>.OUTBUFBEL_AD<4>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO4/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO5/$1I2238AD<5>.PADAD<5>.OUTBUFBEL_AD<5>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO5/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO6/$1I2238AD<6>.PADAD<6>.OUTBUFBEL_AD<6>.DELAYPCI_CORE/PCI_LC/PCI-AD/IO6/IFD/$1I37PCI_CORE/PCI_LC/PCI-AD/IO7/$1I2238 AD<7>.PAD AD<7>.OUTBUF BEL_AD<7>.DELAY PCI_CORE/PCI_LC/PCI-AD/IO7/IFD/$1I37 PCI_CORE/PCI_LC/PCI-AD/IO8/$1I2238!AD<8>.PAD!AD<8>.OUTBUF!BEL_AD<8>.DELAY!PCI_CORE/PCI_LC/PCI-AD/IO8/IFD/$1I37!PCI_CORE/PCI_LC/PCI-AD/IO9/$1I2238"AD<9>.PAD"AD<9>.OUTBUF"BEL_AD<9>.DELAY"PCI_CORE/PCI_LC/PCI-AD/IO9/IFD/$1I37"PCI_CORE/PCI_LC/PCI-CBE/IO0/$1I2238#CBE<0>.PAD#PCI_CORE/PCI_LC/PCI-CBE/IO0/$1I2263#BEL_CBE<0>.DELAY#PCI_CORE/PCI_LC/PCI-CBE/IO0/IFD/$1I37#PCI_CORE/PCI_LC/PCI-CBE/IO1/$1I2238$CBE<1>.PAD$PCI_CORE/PCI_LC/PCI-CBE/IO1/$1I2263$BEL_CBE<1>.DELAY$PCI_CORE/PCI_LC/PCI-CBE/IO1/IFD/$1I37$PCI_CORE/PCI_LC/PCI-CBE/IO2/$1I2238%CBE<2>.PAD%PCI_CORE/PCI_LC/PCI-CBE/IO2/$1I2263%BEL_CBE<2>.DELAY%PCI_CORE/PCI_LC/PCI-CBE/IO2/IFD/$1I37%PCI_CORE/PCI_LC/PCI-CBE/IO3/$1I2238&CBE<3>.PAD&PCI_CORE/PCI_LC/PCI-CBE/IO3/$1I2263&BEL_CBE<3>.DELAY&PCI_CORE/PCI_LC/PCI-CBE/IO3/IFD/$1I37&PCI_CORE/PCI_LC/Q14/$1I2244'DEVSEL_N.PAD'DEVSEL_N.OUTBUF'BEL_DEVSEL_N.DELAY'PCI_CORE/PCI_LC/Q14/IFDI/$1I37'PCI_CORE/PCI_LC/Q1/$1I2241(FRAME_N.PAD(PCI_CORE/PCI_LC/Q1/$1I2228(BEL_FRAME_N.DELAY(PCI_CORE/PCI_LC/Q1/IFDI/$1I37(GNT_N.PAD)PCI_CORE/PCI_LC/INITIATOR_CNTL/$1I2829)BEL_GNT_N.DELAY)PCI_CORE/PCI_LC/INITIATOR_CNTL/GNT_IFF/$1I37)IDSEL.PAD*IDSEL.OUTBUF*BEL_IDSEL.DELAY*PCI_CORE/PCI_LC/Q3/IFD/$1I37*U828/$1I20+IF_ADDR<0>.PAD+BEL_IF_ADDR<0>.ZERO+U818/$1I20,IF_ADDR<10>.PAD,U817/$1I20-IF_ADDR<11>.PAD-U816/$1I20.IF_ADDR<12>.PAD.U815/$1I20/IF_ADDR<13>.PAD/U814/$1I200IF_ADDR<14>.PAD0U813/$1I201IF_ADDR<15>.PAD1U827/$1I202IF_ADDR<1>.PAD2BEL_IF_ADDR<1>.ZERO2U826/$1I203IF_ADDR<2>.PAD3U825/$1I204IF_ADDR<3>.PAD4U824/$1I205IF_ADDR<4>.PAD5U823/$1I206IF_ADDR<5>.PAD6U822/$1I207IF_ADDR<6>.PAD7U821/$1I208IF_ADDR<7>.PAD8U820/$1I209IF_ADDR<8>.PAD9U819/$1I20:IF_ADDR<9>.PAD:PCI_CORE/PCI_LC/$4I3141;INTR_A.PAD;BEL_INTR_A.ZERO;PCI_CORE/PCI_LC/Q2/$1I2250<IRDY_N.PAD<PCI_CORE/PCI_LC/Q2/$1I2228<U869/$1I20=IRF_AE.PAD=U905/$1I20>IRF_DOUT<0>.PAD>U895/$1I20?IRF_DOUT<10>.PAD?U894/$1I20@IRF_DOUT<11>.PAD@U893/$1I20AIRF_DOUT<12>.PADAU892/$1I20BIRF_DOUT<13>.PADBU891/$1I20CIRF_DOUT<14>.PADCU890/$1I20DIRF_DOUT<15>.PADDU889/$1I20EIRF_DOUT<16>.PADEU888/$1I20FIRF_DOUT<17>.PADFU887/$1I20GIRF_DOUT<18>.PADGU886/$1I20HIRF_DOUT<19>.PADHU904/$1I20IIRF_DOUT<1>.PADIU885/$1I20JIRF_DOUT<20>.PADJU884/$1I20KIRF_DOUT<21>.PADKU883/$1I20LIRF_DOUT<22>.PADLU882/$1I20MIRF_DOUT<23>.PADMU881/$1I20NIRF_DOUT<24>.PADNU880/$1I20OIRF_DOUT<25>.PADOU879/$1I20PIRF_DOUT<26>.PADPU878/$1I20QIRF_DOUT<27>.PADQU877/$1I20RIRF_DOUT<28>.PADRU876/$1I20SIRF_DOUT<29>.PADSU903/$1I20TIRF_DOUT<2>.PADTU875/$1I20UIRF_DOUT<30>.PADUU874/$1I20VIRF_DOUT<31>.PADVU873/$1I20WIRF_DOUT<32>.PADWU872/$1I20XIRF_DOUT<33>.PADXU871/$1I20YIRF_DOUT<34>.PADYU870/$1I20ZIRF_DOUT<35>.PADZU902/$1I20[IRF_DOUT<3>.PAD[U901/$1I20\IRF_DOUT<4>.PAD\U900/$1I20]IRF_DOUT<5>.PAD]U899/$1I20^IRF_DOUT<6>.PAD^U898/$1I20_IRF_DOUT<7>.PAD_U897/$1I20`IRF_DOUT<8>.PAD`U896/$1I20aIRF_DOUT<9>.PADaIRF_RD.PADbU906bU868/$1I20cIRF_ST.PADcU830/$1I20dIWF_AF.PADdIWF_DIN<0>.PADeU866eIWF_DIN<10>.PADfU856fIWF_DIN<11>.PADgU855gIWF_DIN<12>.PADhU854hIWF_DIN<13>.PADiU853iIWF_DIN<14>.PADjU852jIWF_DIN<15>.PADkU851kIWF_DIN<16>.PADlU850lIWF_DIN<17>.PADmU849mIWF_DIN<18>.PADnU848nIWF_DIN<19>.PADoU847oIWF_DIN<1>.PADpU865pIWF_DIN<20>.PADqU846qIWF_DIN<21>.PADrU845rIWF_DIN<22>.PADsU844sIWF_DIN<23>.PADtU843tIWF_DIN<24>.PADuU842uIWF_DIN<25>.PADvU841vIWF_DIN<26>.PADwU840wIWF_DIN<27>.PADxU839xIWF_DIN<28>.PADyU838yIWF_DIN<29>.PADzU837zIWF_DIN<2>.PAD{U864{IWF_DIN<30>.PAD|U836|IWF_DIN<31>.PAD}U835}IWF_DIN<3>.PAD~U863~IWF_DIN<4>.PADU862IWF_DIN<5>.PADU861IWF_DIN<6>.PADU860IWF_DIN<7>.PADU859IWF_DIN<8>.PADU858IWF_DIN<9>.PADU857U829/$1I20IWF_LD.PADIWF_WR.PADU867LADDR<6>.PADU781LADDR<7>.PADU780LADDR<8>.PADU779LADDR<9>.PADU778LDIN<10>.PADU804LDIN<11>.PADU803LDIN<12>.PADU802LDIN<13>.PADU801LDIN<14>.PADU800LDIN<15>.PADU799LDIN<16>.PADU798LDIN<17>.PADU797LDIN<18>.PADU796LDIN<19>.PADU795LDIN<20>.PADU794LDIN<21>.PADU793LDIN<22>.PADU792LDIN<23>.PADU791LDIN<24>.PADU790LDIN<25>.PADU789LDIN<26>.PADU788LDIN<27>.PADU787LDIN<28>.PADU786LDIN<29>.PADU785LDIN<2>.PADU812LDIN<30>.PADU784LDIN<31>.PADU783LDIN<3>.PADU811LDIN<4>.PADU810LDIN<5>.PADU809LDIN<6>.PADU808LDIN<7>.PADU807LDIN<8>.PADU806LDIN<9>.PADU805U782/$1I20LINT_N.PADLWE.PADU777PCI_CORE/PCI_LC/PAR/$1I16PAR.PADPCI_CORE/PCI_LC/PAR/$1I9*PCI_CORE/PCI_LC/$3I3373/PCI_CORE/PCI_LC/OE_ADIH=(H1+G)+F0PCI_CORE/PCI_LC/$3I3404/PCI_CORE/PCI_LC/INIT_KOG=(G3+G2)+(G1*G4)1PCI_CORE/PCI_LC/$3I3432F=F3*((F4*F1)+(~F2*~F1))5PCI_CORE/PCI_LC/INITIATOR_CNTL/M_DATA/M_DATA1/$1I370PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1I2719G=G2*G11PCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/$1I2595F=F2*F38PCI_CORE/PCI_LC/$3I35790PCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/$1I2513/PCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/EQN-EG=(G2*G3)*~G1*~G41PCI_CORE/PCI_LC/$3I3582F=F2*F10PCI_CORE/PCI_LC/$4I3294G=~G1*G21PCI_CORE/PCI_LC/$4I3213F=~F1*F25PCI_CORE/PCI_LC/Q6*PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I3310H=~((~F*~G)+H1)0PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I3072G=G2+G11PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I3127F=~(~F2+(F4*F3))5PCI_CORE/PCI_LC/$4I3425*PCI_CORE/PCI_LC/OUT_CE/$2I784H=F+H1+G0PCI_CORE/PCI_LC/OUT_CE/$2I759G=(G3*G4)+(G2*G1)1PCI_CORE/PCI_LC/OUT_CE/$2I782/PCI_CORE/PCI_LC/OUT_CE/DATA_CE_BF=(F1*~F4)*~F35PCI_CORE/PCI_LC/$4I35220PCI_CORE/PCI_LC/INITIATOR_CNTL/$1I2740/PCI_CORE/PCI_LC/SET12G=(G2*G1)*~G31PCI_CORE/PCI_LC/$6I134F=~F3*F1*PCI_CORE/PCI_LC/$6I135H=F0PCI_CORE/PCI_LC/INITIATOR_CNTL/$1I2638/AND4/PCI_CORE/PCI_LC/INITIATOR_CNTL/$1N2641G=(~G2*~G1)*~G4*G31PCI_CORE/PCI_LC/INITIATOR_CNTL/$1I2633F=~F4*F38PCI_CORE/PCI_LC/INITIATOR_CNTL/$1I2637PCI_CORE/PCI_LC/$6I30PCI_CORE/PCI_LC/INITIATOR_CNTL/$2I2911G=G2*(G3*G4)*~G11PCI_CORE/PCI_LC/$6I447F=~(F1)5PCI_CORE/PCI_LC/INITIATOR_CNTL/ADDR_BE0PCI_CORE/PCI_LC/BAR0/$1I2818/$1I125/PCI_CORE/PCI_LC/BAR0/$1I2818/$1N48G=((((~G1*G4)*G3*G2)+((~G1*G4)*G3*~G2))+((G1*G4)*G3*~G2)+((G1*G4)*~G3*~G2))+((G1*G4)*G3*G2)1PCI_CORE/PCI_LC/$6I484F=F11PCI_CORE/PCI_LC/$6I485F=F11PCI_CORE/PCI_LC/$6I486F=F3PCI_CORE/PCI_LC/0/LOWER/T0PCI_CORE/PCI_LC/0/LOWER/T1PCI_CORE/PCI_LC/0/LOWER/T10PCI_CORE/PCI_LC/0/LOWER/T11PCI_CORE/PCI_LC/0/LOWER/T12PCI_CORE/PCI_LC/0/LOWER/T13PCI_CORE/PCI_LC/0/LOWER/T14PCI_CORE/PCI_LC/0/LOWER/T15PCI_CORE/PCI_LC/0/LOWER/T2PCI_CORE/PCI_LC/0/LOWER/T3PCI_CORE/PCI_LC/0/LOWER/T4PCI_CORE/PCI_LC/0/LOWER/T5PCI_CORE/PCI_LC/0/LOWER/T6PCI_CORE/PCI_LC/0/LOWER/T7PCI_CORE/PCI_LC/0/LOWER/T8PCI_CORE/PCI_LC/0/LOWER/T9PCI_CORE/PCI_LC/0/UPPER/T0PCI_CORE/PCI_LC/0/UPPER/T1PCI_CORE/PCI_LC/0/UPPER/T10PCI_CORE/PCI_LC/0/UPPER/T11PCI_CORE/PCI_LC/0/UPPER/T12PCI_CORE/PCI_LC/0/UPPER/T13PCI_CORE/PCI_LC/0/UPPER/T14PCI_CORE/PCI_LC/0/UPPER/T15PCI_CORE/PCI_LC/0/UPPER/T2PCI_CORE/PCI_LC/0/UPPER/T3PCI_CORE/PCI_LC/0/UPPER/T4PCI_CORE/PCI_LC/0/UPPER/T5PCI_CORE/PCI_LC/0/UPPER/T6PCI_CORE/PCI_LC/0/UPPER/T7PCI_CORE/PCI_LC/0/UPPER/T8PCI_CORE/PCI_LC/0/UPPER/T9PCI_CORE/PCI_LC/1/LOWER/T0PCI_CORE/PCI_LC/1/LOWER/T1PCI_CORE/PCI_LC/1/LOWER/T10PCI_CORE/PCI_LC/1/LOWER/T11PCI_CORE/PCI_LC/1/LOWER/T12PCI_CORE/PCI_LC/1/LOWER/T13PCI_CORE/PCI_LC/1/LOWER/T14PCI_CORE/PCI_LC/1/LOWER/T15PCI_CORE/PCI_LC/1/LOWER/T2PCI_CORE/PCI_LC/1/LOWER/T3PCI_CORE/PCI_LC/1/LOWER/T4PCI_CORE/PCI_LC/1/LOWER/T5PCI_CORE/PCI_LC/1/LOWER/T6PCI_CORE/PCI_LC/1/LOWER/T7PCI_CORE/PCI_LC/1/LOWER/T8PCI_CORE/PCI_LC/1/LOWER/T9PCI_CORE/PCI_LC/1/UPPER/T0PCI_CORE/PCI_LC/1/UPPER/T1PCI_CORE/PCI_LC/1/UPPER/T10PCI_CORE/PCI_LC/1/UPPER/T11PCI_CORE/PCI_LC/1/UPPER/T12PCI_CORE/PCI_LC/1/UPPER/T13PCI_CORE/PCI_LC/1/UPPER/T14PCI_CORE/PCI_LC/1/UPPER/T15PCI_CORE/PCI_LC/1/UPPER/T2PCI_CORE/PCI_LC/1/UPPER/T3PCI_CORE/PCI_LC/1/UPPER/T4PCI_CORE/PCI_LC/1/UPPER/T5PCI_CORE/PCI_LC/1/UPPER/T6PCI_CORE/PCI_LC/1/UPPER/T7PCI_CORE/PCI_LC/1/UPPER/T8PCI_CORE/PCI_LC/1/UPPER/T9PCI_CORE/PCI_LC/4/LOWER/T0PCI_CORE/PCI_LC/4/LOWER/T1PCI_CORE/PCI_LC/4/LOWER/T10PCI_CORE/PCI_LC/4/LOWER/T11PCI_CORE/PCI_LC/4/LOWER/T12PCI_CORE/PCI_LC/4/LOWER/T13PCI_CORE/PCI_LC/4/LOWER/T14PCI_CORE/PCI_LC/4/LOWER/T15PCI_CORE/PCI_LC/4/LOWER/T2PCI_CORE/PCI_LC/4/LOWER/T3PCI_CORE/PCI_LC/4/LOWER/T4PCI_CORE/PCI_LC/4/LOWER/T5PCI_CORE/PCI_LC/4/LOWER/T6PCI_CORE/PCI_LC/4/LOWER/T7PCI_CORE/PCI_LC/4/LOWER/T8PCI_CORE/PCI_LC/4/LOWER/T9PCI_CORE/PCI_LC/4/UPPER/T0 PCI_CORE/PCI_LC/4/UPPER/T1 PCI_CORE/PCI_LC/4/UPPER/T10 PCI_CORE/PCI_LC/4/UPPER/T11 PCI_CORE/PCI_LC/4/UPPER/T12 PCI_CORE/PCI_LC/4/UPPER/T13PCI_CORE/PCI_LC/4/UPPER/T14PCI_CORE/PCI_LC/4/UPPER/T15PCI_CORE/PCI_LC/4/UPPER/T2PCI_CORE/PCI_LC/4/UPPER/T3PCI_CORE/PCI_LC/4/UPPER/T4PCI_CORE/PCI_LC/4/UPPER/T5PCI_CORE/PCI_LC/4/UPPER/T6PCI_CORE/PCI_LC/4/UPPER/T7PCI_CORE/PCI_LC/4/UPPER/T8PCI_CORE/PCI_LC/4/UPPER/T9*PCI_CORE/PCI_LC/BAR0/$1I3050H=F*G0PCI_CORE/PCI_LC/BAR0/G5G=(G4*G1)*G2*G31PCI_CORE/PCI_LC/BAR0/G4F=(F2*F1)*F4*PCI_CORE/PCI_LC/BAR0/BR-15-8/A4H=F*G0PCI_CORE/PCI_LC/BAR0/BR-15-8/A5G=~(G3@G4)*~(G2@G1)1PCI_CORE/PCI_LC/BAR0/BR-15-8/A3F=~(F2@F4)*~(F3@F1)*BEL_PCI_CORE/PCI_LC/BAR0/BR-15-8/$1N2609.HH=H18PCI_CORE/PCI_LC/BAR0/BR-15-8/Q55PCI_CORE/PCI_LC/BAR0/BR-15-8/Q4*BEL_PCI_CORE/PCI_LC/BAR0/BR-15-8/$1N2384.HH=H18PCI_CORE/PCI_LC/BAR0/BR-15-8/Q75PCI_CORE/PCI_LC/BAR0/BR-15-8/Q6*PCI_CORE/PCI_LC/BAR0/BR-23-16/A1H=F*G0PCI_CORE/PCI_LC/BAR0/BR-23-16/A2G=~(G3@G1)*~(G2@G4)1PCI_CORE/PCI_LC/BAR0/BR-23-16/A0F=~(F4@F1)*~(F3@F2)*PCI_CORE/PCI_LC/BAR0/BR-23-16/A4H=F*G0PCI_CORE/PCI_LC/BAR0/BR-23-16/A5G=~(G4@G1)*~(G3@G2)1PCI_CORE/PCI_LC/BAR0/BR-23-16/A3F=~(F1@F3)*~(F2@F4)*BEL_PCI_CORE/PCI_LC/BAR0/BR-23-16/$1N2793.HH=H18PCI_CORE/PCI_LC/BAR0/BR-23-16/Q15PCI_CORE/PCI_LC/BAR0/BR-23-16/Q0*BEL_PCI_CORE/PCI_LC/BAR0/BR-23-16/$1N2613.H H=H18PCI_CORE/PCI_LC/BAR0/BR-23-16/Q4 5PCI_CORE/PCI_LC/BAR0/BR-23-16/Q3 *BEL_PCI_CORE/PCI_LC/BAR0/BR-23-16/$1N2609.H!H=H15PCI_CORE/PCI_LC/BAR0/BR-23-16/Q5!8PCI_CORE/PCI_LC/BAR0/BR-23-16/Q2!*BEL_PCI_CORE/PCI_LC/BAR0/BR-23-16/$1N2384.H"H=H18PCI_CORE/PCI_LC/BAR0/BR-23-16/Q7"5PCI_CORE/PCI_LC/BAR0/BR-23-16/Q6"*PCI_CORE/PCI_LC/BAR0/BR-31-24/A1#H=F*G0PCI_CORE/PCI_LC/BAR0/BR-31-24/A2#G=~(G3@G2)*~(G4@G1)1PCI_CORE/PCI_LC/BAR0/BR-31-24/A0#F=~(F3@F1)*~(F2@F4)*PCI_CORE/PCI_LC/BAR0/BR-31-24/A4$H=F*G0PCI_CORE/PCI_LC/BAR0/BR-31-24/A5$G=~(G3@G2)*~(G1@G4)1PCI_CORE/PCI_LC/BAR0/BR-31-24/A3$F=~(F4@F1)*~(F3@F2)*BEL_PCI_CORE/PCI_LC/BAR0/BR-31-24/$1N2793.H%H=H18PCI_CORE/PCI_LC/BAR0/BR-31-24/Q1%5PCI_CORE/PCI_LC/BAR0/BR-31-24/Q0%*BEL_PCI_CORE/PCI_LC/BAR0/BR-31-24/$1N2731.H&H=H15PCI_CORE/PCI_LC/BAR0/BR-31-24/Q3&8PCI_CORE/PCI_LC/BAR0/BR-31-24/Q2&*BEL_PCI_CORE/PCI_LC/BAR0/BR-31-24/$1N2609.H'H=H18PCI_CORE/PCI_LC/BAR0/BR-31-24/Q5'5PCI_CORE/PCI_LC/BAR0/BR-31-24/Q4'*BEL_PCI_CORE/PCI_LC/BAR0/BR-31-24/$1N2384.H(H=H18PCI_CORE/PCI_LC/BAR0/BR-31-24/Q7(5PCI_CORE/PCI_LC/BAR0/BR-31-24/Q6(*PCI_CORE/PCI_LC/BAR0/$1I2888)H=H1*F0PCI_CORE/PCI_LC/BAR0/$1I2867)G=G3*G21PCI_CORE/PCI_LC/BAR0/$1I2891)F=((F3*F1)*F2)+F48PCI_CORE/PCI_LC/BAR0/NL)5PCI_CORE/PCI_LC/BAR0/EQ)*PCI_CORE/PCI_LC/BAR1/$1I2888*H=H1*F0PCI_CORE/PCI_LC/BAR1/$1I2867*G=G31PCI_CORE/PCI_LC/BAR1/$1I2891*F=F35PCI_CORE/PCI_LC/BAR1/NL*8PCI_CORE/PCI_LC/BAR1/EQ**PCI_CORE/PCI_LC/BAR2/$1I2888+H=H1*F0PCI_CORE/PCI_LC/BAR2/$1I2867+G=G11PCI_CORE/PCI_LC/BAR2/$1I2891+F=F15PCI_CORE/PCI_LC/BAR2/NL+8PCI_CORE/PCI_LC/BAR2/EQ+0PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I3165,G=(~G2+~G1)*G31PCI_CORE/PCI_LC/DATA_VLD/$1I328/PCI_CORE/PCI_LC/DATA_VLD/NS_MDV,F=(F4*~F2)*~F18PCI_CORE/PCI_LC/INITIATOR_CNTL/$2I2685,0PCI_CORE/PCI_LC/PCI-CNTL/$4I446/PCI_CORE/PCI_LC/PCI-CNTL/NS_PWIN-G=(G4*G3)*~G1*~G21PCI_CORE/PCI_LC/DATA_VLD/$1I426/PCI_CORE/PCI_LC/DATA_VLD/NS_SDV-F=(F3*~F2)*~F15PCI_CORE/PCI_LC/PCI-CNTL/PWIN_FF-0VOY_INST/registers/U875.G=G4*G31PCI_CORE/PCI_LC/DATA_VLD/$1I539.F=F28PCI_CORE/PCI_LC/DATA_VLD/S_DATA_VLD.PCI_CORE/PCI_LC/E/LOWER/T0/PCI_CORE/PCI_LC/E/LOWER/T10PCI_CORE/PCI_LC/E/LOWER/T101PCI_CORE/PCI_LC/E/LOWER/T112PCI_CORE/PCI_LC/E/LOWER/T123PCI_CORE/PCI_LC/E/LOWER/T134PCI_CORE/PCI_LC/E/LOWER/T145PCI_CORE/PCI_LC/E/LOWER/T156PCI_CORE/PCI_LC/E/LOWER/T27PCI_CORE/PCI_LC/E/LOWER/T38PCI_CORE/PCI_LC/E/LOWER/T49PCI_CORE/PCI_LC/E/LOWER/T5:PCI_CORE/PCI_LC/E/LOWER/T6;PCI_CORE/PCI_LC/E/LOWER/T7<PCI_CORE/PCI_LC/E/LOWER/T8=PCI_CORE/PCI_LC/E/LOWER/T9>PCI_CORE/PCI_LC/E/UPPER/T0?PCI_CORE/PCI_LC/E/UPPER/T1@PCI_CORE/PCI_LC/E/UPPER/T10APCI_CORE/PCI_LC/E/UPPER/T11BPCI_CORE/PCI_LC/E/UPPER/T12CPCI_CORE/PCI_LC/E/UPPER/T13DPCI_CORE/PCI_LC/E/UPPER/T14EPCI_CORE/PCI_LC/E/UPPER/T15FPCI_CORE/PCI_LC/E/UPPER/T2GPCI_CORE/PCI_LC/E/UPPER/T3HPCI_CORE/PCI_LC/E/UPPER/T4IPCI_CORE/PCI_LC/E/UPPER/T5JPCI_CORE/PCI_LC/E/UPPER/T6KPCI_CORE/PCI_LC/E/UPPER/T7LPCI_CORE/PCI_LC/E/UPPER/T8MPCI_CORE/PCI_LC/E/UPPER/T9NPCI_CORE/PCI_LC/F/LOWER/T0OPCI_CORE/PCI_LC/F/LOWER/T1PPCI_CORE/PCI_LC/F/LOWER/T10QPCI_CORE/PCI_LC/F/LOWER/T11RPCI_CORE/PCI_LC/F/LOWER/T12SPCI_CORE/PCI_LC/F/LOWER/T13TPCI_CORE/PCI_LC/F/LOWER/T14UPCI_CORE/PCI_LC/F/LOWER/T15VPCI_CORE/PCI_LC/F/LOWER/T2WPCI_CORE/PCI_LC/F/LOWER/T3XPCI_CORE/PCI_LC/F/LOWER/T4YPCI_CORE/PCI_LC/F/LOWER/T5ZPCI_CORE/PCI_LC/F/LOWER/T6[PCI_CORE/PCI_LC/F/LOWER/T7\PCI_CORE/PCI_LC/F/LOWER/T8]PCI_CORE/PCI_LC/F/LOWER/T9^PCI_CORE/PCI_LC/F/UPPER/T0_PCI_CORE/PCI_LC/F/UPPER/T1`PCI_CORE/PCI_LC/F/UPPER/T10aPCI_CORE/PCI_LC/F/UPPER/T11bPCI_CORE/PCI_LC/F/UPPER/T12cPCI_CORE/PCI_LC/F/UPPER/T13dPCI_CORE/PCI_LC/F/UPPER/T14ePCI_CORE/PCI_LC/F/UPPER/T15fPCI_CORE/PCI_LC/F/UPPER/T2gPCI_CORE/PCI_LC/F/UPPER/T3hPCI_CORE/PCI_LC/F/UPPER/T4iPCI_CORE/PCI_LC/F/UPPER/T5jPCI_CORE/PCI_LC/F/UPPER/T6kPCI_CORE/PCI_LC/F/UPPER/T7lPCI_CORE/PCI_LC/F/UPPER/T8mPCI_CORE/PCI_LC/F/UPPER/T9n0PCI_CORE/PCI_LC/INITIATOR_CNTL/S_TAR/$1I2601/PCI_CORE/PCI_LC/INITIATOR_CNTL/S_TAR/NS_S_TARoG=((~G4+G2)*G3)*G11PCI_CORE/PCI_LC/INITIATOR_CNTL/$1I2621oF=~F2*F18PCI_CORE/PCI_LC/INITIATOR_CNTL/$1I2779o5PCI_CORE/PCI_LC/INITIATOR_CNTL/FRAME/FRAMEQ-oPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T0pPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T1qPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T10rPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T11sPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T12tPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T13uPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T14vPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T15wPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T2xPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T3yPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T4zPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T5{PCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T6|PCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T7}PCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T8~PCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T9PCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T0PCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T1PCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T10PCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T11PCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T12PCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T13PCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T14PCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T15PCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T2PCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T3PCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T4PCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T5PCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T6PCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T7PCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T8PCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T9*PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1I2601/$1I2213H=~F*G0PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1I2601/$1I2214G=((G4*G1)*G3)+G21PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1I2725/PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1N2609F=((~F2*F4)+F3)+~F15PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1I2601/FDCE0PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1I2698/$1I34G=((G3*G2)*~G4)+((G1*~G4)*~G3)1PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1I2697/$1I34F=((F3*~F1)*~F4)+((F2*~F4)*~F3)5PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1I2697/$1I42/$1I378PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1I2698/$1I42/$1I371PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1I2699/$1I34F=((F4*F3)*~F1)+((F2*~F1)*~F4)5PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1I2699/$1I42/$1I37*PCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/$1I2498/PCI_CORE/PCI_LC/INITIATOR_CNTL/NS_DR_BUSH=(G+H1)+F0PCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/$1I2554/PCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/EQN-AG=(G1*~G4)*~(~G3*G2)1PCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/$1I2657/PCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/EQN-DF=(F1*F2)*~(~F3*F4)8PCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/$1I2495*PCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/$1I2603H=~F*~H1*G0PCI_CORE/PCI_LC/$6I132/STATUS<36>G=(G4*~G1)*~G21PCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/$1I2597F=~F2+((F2*F3)*F4*~F1)8VOY_INST/transfer/discon_state_reg*PCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/$1I2630H=(~F+~G)*H10BEL_PCI_CORE/PCI_LC/M_ENABLE.GG=G41PCI_CORE/PCI_LC/$2I3604F=F35PCI_CORE/PCI_LC/PCI-CSR/CMDREG/Q68PCI_CORE/PCI_LC/PCI-CSR/CMDREG/Q2*PCI_CORE/PCI_LC/INITIATOR_CNTL/FRAME/$1I2521/PCI_CORE/PCI_LC/INITIATOR_CNTL/FRAME/EQN-BH=(H1*~G)*~F1PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1I2728F=F1*F2*PCI_CORE/PCI_LC/INITIATOR_CNTL/FRAME/$1I2801H=~F+G0PCI_CORE/PCI_LC/INITIATOR_CNTL/FRAME/$1I2527G=~G4*(~G3+~G2)*G11PCI_CORE/PCI_LC/INITIATOR_CNTL/FRAME/$1I2771F=F3+F18PCI_CORE/PCI_LC/INITIATOR_CNTL/FRAME/FRAME-*PCI_CORE/PCI_LC/INITIATOR_CNTL/IRDY/$1I2641H=F*H10PCI_CORE/PCI_LC/INITIATOR_CNTL/$2I2686/PCI_CORE/PCI_LC/IPWING=(G4*~G1)*~G3*~G21PCI_CORE/PCI_LC/INITIATOR_CNTL/IRDY/$1I2646F=~F4+((F4*F2)*F1*~F3)8PCI_CORE/PCI_LC/PCI-PAR/$2I2762/$1I370PCI_CORE/PCI_LC/OUT_CE/$1I639G=G2+(G1*G4)1PCI_CORE/PCI_LC/INITIATOR_CNTL/IRDY/$1I2802F=F15PCI_CORE/PCI_LC/INITIATOR_CNTL/IRDY/IRDYQ-8PCI_CORE/PCI_LC/OUT_CE/$1I640*PCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/$1I2592H=(F*G)+H10PCI_CORE/PCI_LC/INITIATOR_CNTL/$2I2876/PCI_CORE/PCI_LC/INITIATOR_CNTL/M_ADDR_LIVEG=(G1*G3)*~G41PCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/$1I2715/PCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/ADDR_GNTF=~((F1*F4)*~F2*~F3)5PCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/$1I2586*PCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/$1I2596H=F+(~G*H1)0PCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/$1I2647G=~G4+((G4*G1)*G2*~G3)1PCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/$1I2599F=F3*(F1+(F4*F2))1PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/$1I29/PCI_CORE/PCI_LC/INITIATOR_CNTL/$2N2644/4.0/PCI_CORE/PCI_LC/INITIATOR_CNTL/$2N2644/4.0/2.1F=~F3*(~F2*~F1)*~F40PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q1/$1I30/$1I8G=(G4*G1)+(~G1*(~G2@G3))1PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q0/$1I30/$1I8F=(F3*F1)+(~F1*~F2)5PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q0/$1I358PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q1/$1I35*PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q2/$1I30/$1I8H=(G*H1)+(~H1*F)0PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/$1I23/PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/T4G=(~G3*~G1)*~G2*~G41PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q2/$1I32F=(~F1*~F3)@F28PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q2/$1I35*PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q3/$1I30/$1I8H=(G*H1)+(~H1*F)0PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q4/$1I30/$1I8G=(G2*G1)+(~G1*(G4@G3))1PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q3/$1I32F=((~F3*~F4)*~F1)@F25PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q3/$1I358PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q4/$1I35*PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q5/$1I30/$1I8H=(G*H1)+(~H1*F)0PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/$1I25/PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/T7G=(G3*~G1)*~G2*~G41PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q5/$1I32F=(~F3*F4)@F25PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q5/$1I35*PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q6/$1I30/$1I8H=(G*H1)+(~H1*F)0PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q7/$1I30/$1I8G=(G3*G1)+(~G1*(G2@G4))1PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q6/$1I32F=((F4*~F3)*~F1)@F25PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q6/$1I358PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q7/$1I35*PCI_CORE/PCI_LC/INITIATOR_CNTL/M_DATA/$1I2498H=(G*H1)+F0PCI_CORE/PCI_LC/INITIATOR_CNTL/M_DATA/$1I2518G=~G2+((G2*G3)*G4*~G1)1PCI_CORE/PCI_LC/INITIATOR_CNTL/M_DATA/$1I2590F=~F2*F35PCI_CORE/PCI_LC/INITIATOR_CNTL/M_DATA/M_DATA/$1I37*PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I3071H=~(F+G)*H10PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I3290G=(G3*G1)+((~G2+~G4)*G3)1PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I3092F=(F4*F3)+((F4*F2)*~F1)*PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I3311H=~((~F*~G)+H1)0VOY_INST/transfer/U1325/VOY_INST/transfer/n3089G=((G1+G2)*~G3)*G41PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I2839F=~(~F1+(F3*F2))*PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I3312H=~((~F*~G)+H1)0PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I3506G=(G1*G2)+(G4*G3)1PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I2830F=~(~F2+(F3*F4))8PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/FSLOT*PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I3327H=~((~F*~G)+H1)0PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I3504G=~((G2*G3)+(G1*G4))1PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I2846F=~(~F3+(F4*F1))5PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/OE_IRDY*PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I3342H=~((~G*H1)+F)0PCI_CORE/PCI_LC/Q2/$1I2241G=G21PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I2754/PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/START_ADF=(F2*F4)*~F15PCI_CORE/PCI_LC/Q2/IFDI1PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I3349F=F4+(~F2*F3)5PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I33578PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/EOT0PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/$1I649G=(~G3+~G1)*G21PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I3500/PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/START_OE_FRAMEF=(F3*F1)*F2*~F45PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I35081PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/$1I3475F=F38PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/SLOT*BEL_VOY_INST/registers/xfer_padri/input_ml<0>.HH=H10VOY_INST/registers/xfer_padri/U253G=~(~(G3*G4)*~(~G3*G2))1VOY_INST/registers/xfer_padri/U249F=~(~(F3*F2)*~(~F3*F4))8PCI_CORE/PCI_LC/INITIATOR_CNTL/PCI-0CH/LAT-TIME/Q15PCI_CORE/PCI_LC/INITIATOR_CNTL/PCI-0CH/LAT-TIME/Q0*BEL_VOY_INST/registers/xfer_padri/input_ml<2>.HH=H10VOY_INST/registers/xfer_padri/U261G=~(~(G3*G4)*~(~G3*G2))1VOY_INST/registers/xfer_padri/U257F=~(~(F3*F2)*~(~F3*F1))8PCI_CORE/PCI_LC/INITIATOR_CNTL/PCI-0CH/LAT-TIME/Q35PCI_CORE/PCI_LC/INITIATOR_CNTL/PCI-0CH/LAT-TIME/Q2*BEL_VOY_INST/registers/xfer_padri/input_ml<4>.HH=H10VOY_INST/registers/xfer_padri/U269G=~(~(G3*G2)*~(~G3*G4))1VOY_INST/registers/xfer_padri/U265F=~(~(F2*F4)*~(~F2*F1))8PCI_CORE/PCI_LC/INITIATOR_CNTL/PCI-0CH/LAT-TIME/Q55PCI_CORE/PCI_LC/INITIATOR_CNTL/PCI-0CH/LAT-TIME/Q4*BEL_VOY_INST/registers/xfer_padri/input_ml<6>.HH=H10VOY_INST/registers/xfer_padri/U277G=~(~(G3*G4)*~(~G3*G1))1VOY_INST/registers/xfer_padri/U273F=~(~(F1*F2)*~(~F1*F3))8PCI_CORE/PCI_LC/INITIATOR_CNTL/PCI-0CH/LAT-TIME/Q75PCI_CORE/PCI_LC/INITIATOR_CNTL/PCI-0CH/LAT-TIME/Q6*PCI_CORE/PCI_LC/INITIATOR_CNTL/REQ/$1I2506H=~(~(H1+G)*F)0PCI_CORE/PCI_LC/INITIATOR_CNTL/S_TAR/$1I2624G=G21PCI_CORE/PCI_LC/INITIATOR_CNTL/REQ/$1I2586F=~F1*F2*F45PCI_CORE/PCI_LC/INITIATOR_CNTL/REQ/$1I24998PCI_CORE/PCI_LC/INITIATOR_CNTL/S_TAR/S_TAR*PCI_CORE/PCI_LC/INITIATOR_CNTL/TIME_OUT/$1I2213H=F+(H1*G)0PCI_CORE/PCI_LC/INITIATOR_CNTL/IRDY/$1I2736G=~(~G1*(~G2+~G3)*G4)1PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/$1I29/PCI_CORE/PCI_LC/INITIATOR_CNTL/$2N2644F=((~F2*~F3)*F1)*~F48PCI_CORE/PCI_LC/INITIATOR_CNTL/TIME_OUT/FDCE*PCI_CORE/PCI_LC/PCI-PAR/$3I2747H=~H1+F0PCI_CORE/PCI_LC/PCI-PAR/$3I2783G=G1*(~G4+~(G2@G3))1PCI_CORE/PCI_LC/PCI-PAR/$3I2693F=~(F4*~F3*(F2+F1))8PCI_CORE/PCI_LC/OE_SERR_FF0PCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/$2I3073G=(G2*G3)+(~G4*G1)1PCI_CORE/PCI_LC/OUT_CE/$1I612F=F4+((F3*F2)*~F1)8PCI_CORE/PCI_LC/OUT_CE/$1I5965PCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/CFGEQ*PCI_CORE/PCI_LC/OUT_CE/$2I583H=F+H1+G0PCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/$1I2807G=~G1*G41PCI_CORE/PCI_LC/OUT_CE/$2I579/PCI_CORE/PCI_LC/OUT_CE/DATA_CE_TF=(F2*~F3)*~F18PCI_CORE/PCI_LC/OUT_CE/$1I712*PCI_CORE/PCI_LC/OUT_SEL/$1I638H=F+(G*H1)0PCI_CORE/PCI_LC/OUT_SEL/$1I697/PCI_CORE/PCI_LC/OUT_SEL/M_ING=(G3*~G4)*~G2*~G11PCI_CORE/PCI_LC/OUT_SEL/$1I637/PCI_CORE/PCI_LC/OUT_SEL/S_EQNF=(F4*F1)*~F2*~F38PCI_CORE/PCI_LC/OUT_SEL/$1I5975PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/DEVSEL-*PCI_CORE/PCI_LC/OUT_SEL/$1I655/PCI_CORE/PCI_LC/SHADOW_CEH=F*~H10VOY_INST/registers/U856G=~G4*G21PCI_CORE/PCI_LC/OUT_CE/$2I748F=F1+(~F4*F3)5VOY_INST/registers/bar0_rd_reg*PCI_CORE/PCI_LC/PCI-PAR/$2I2946/PCI_CORE/PCI_LC/PARH=(G@H1)@F0PCI_CORE/PCI_LC/PCI-PAR/$2I2933G=G2@G41PCI_CORE/PCI_LC/PCI-PAR/$2I2939F=F2@F35PCI_CORE/PCI_LC/PAR/OFD0PCI_CORE/PCI_LC/PCI-CBE/IO0/$1I2246/$1I8G=(G2*G4)+(~G4*G1)1PCI_CORE/PCI_LC/PCI-AD/IO0/$1I2246/$1I8F=(F1*F4)+(~F4*F2)8PCI_CORE/PCI_LC/PCI-AD/IO0/OFD5PCI_CORE/PCI_LC/PCI-CBE/IO0/OFD0PCI_CORE/PCI_LC/PCI-CBE/IO1/$1I2246/$1I8G=(G2*G4)+(~G4*G1)1PCI_CORE/PCI_LC/PCI-AD/IO1/$1I2246/$1I8F=(F3*F1)+(~F1*F4)8PCI_CORE/PCI_LC/PCI-AD/IO1/OFD5PCI_CORE/PCI_LC/PCI-CBE/IO1/OFD0PCI_CORE/PCI_LC/PCI-AD/IO11/$1I2246/$1I8G=(G2*G3)+(~G3*G4)1PCI_CORE/PCI_LC/PCI-AD/IO10/$1I2246/$1I8F=(F1*F4)+(~F4*F2)5PCI_CORE/PCI_LC/PCI-AD/IO10/OFD8PCI_CORE/PCI_LC/PCI-AD/IO11/OFD0PCI_CORE/PCI_LC/PCI-AD/IO13/$1I2246/$1I8G=(G4*G3)+(~G3*G2)1PCI_CORE/PCI_LC/PCI-AD/IO12/$1I2246/$1I8F=(F1*F3)+(~F3*F4)5PCI_CORE/PCI_LC/PCI-AD/IO12/OFD8PCI_CORE/PCI_LC/PCI-AD/IO13/OFD0PCI_CORE/PCI_LC/PCI-AD/IO15/$1I2246/$1I8G=(G2*G3)+(~G3*G4)1PCI_CORE/PCI_LC/PCI-AD/IO14/$1I2246/$1I8F=(F1*F3)+(~F3*F2)5PCI_CORE/PCI_LC/PCI-AD/IO14/OFD8PCI_CORE/PCI_LC/PCI-AD/IO15/OFD0PCI_CORE/PCI_LC/PCI-CBE/IO2/$1I2246/$1I8G=(G2*G3)+(~G3*G1)1PCI_CORE/PCI_LC/PCI-AD/IO16/$1I2246/$1I8F=(F1*F3)+(~F3*F4)8PCI_CORE/PCI_LC/PCI-AD/IO16/OFD5PCI_CORE/PCI_LC/PCI-CBE/IO2/OFD0PCI_CORE/PCI_LC/PCI-CBE/IO3/$1I2246/$1I8G=~G1*G31PCI_CORE/PCI_LC/PCI-AD/IO17/$1I2246/$1I8F=(F4*F2)+(~F2*F1)8PCI_CORE/PCI_LC/PCI-AD/IO17/OFD5PCI_CORE/PCI_LC/PCI-CBE/IO3/OFD0PCI_CORE/PCI_LC/PCI-AD/IO19/$1I2246/$1I8G=(G1*G2)+(~G2*G4)1PCI_CORE/PCI_LC/PCI-AD/IO18/$1I2246/$1I8F=(F1*F4)+(~F4*F2)5PCI_CORE/PCI_LC/PCI-AD/IO18/OFD8PCI_CORE/PCI_LC/PCI-AD/IO19/OFD0PCI_CORE/PCI_LC/PCI-AD/IO3/$1I2246/$1I8G=(G2*G1)+(~G1*G4)1PCI_CORE/PCI_LC/PCI-AD/IO2/$1I2246/$1I8F=(F1*F2)+(~F2*F4)8PCI_CORE/PCI_LC/PCI-AD/IO2/OFD5PCI_CORE/PCI_LC/PCI-AD/IO3/OFD0PCI_CORE/PCI_LC/PCI-AD/IO21/$1I2246/$1I8G=(G2*G3)+(~G3*G4)1PCI_CORE/PCI_LC/PCI-AD/IO20/$1I2246/$1I8F=(F1*F3)+(~F3*F2)5PCI_CORE/PCI_LC/PCI-AD/IO20/OFD8PCI_CORE/PCI_LC/PCI-AD/IO21/OFD0PCI_CORE/PCI_LC/PCI-AD/IO23/$1I2246/$1I8G=(G2*G1)+(~G1*G4)1PCI_CORE/PCI_LC/PCI-AD/IO22/$1I2246/$1I8F=(F4*F1)+(~F1*F2)5PCI_CORE/PCI_LC/PCI-AD/IO22/OFD8PCI_CORE/PCI_LC/PCI-AD/IO23/OFD0PCI_CORE/PCI_LC/PCI-AD/IO25/$1I2246/$1I8G=(G1*G3)+(~G3*G2)1PCI_CORE/PCI_LC/PCI-AD/IO24/$1I2246/$1I8F=(F2*F3)+(~F3*F4)5PCI_CORE/PCI_LC/PCI-AD/IO24/OFD8PCI_CORE/PCI_LC/PCI-AD/IO25/OFD0PCI_CORE/PCI_LC/PCI-AD/IO27/$1I2246/$1I8G=(G4*G3)+(~G3*G2)1PCI_CORE/PCI_LC/PCI-AD/IO26/$1I2246/$1I8F=(F1*F3)+(~F3*F4)5PCI_CORE/PCI_LC/PCI-AD/IO26/OFD8PCI_CORE/PCI_LC/PCI-AD/IO27/OFD0PCI_CORE/PCI_LC/PCI-AD/IO29/$1I2246/$1I8G=(G2*G3)+(~G3*G4)1PCI_CORE/PCI_LC/PCI-AD/IO28/$1I2246/$1I8F=(F4*F3)+(~F3*F2)5PCI_CORE/PCI_LC/PCI-AD/IO28/OFD8PCI_CORE/PCI_LC/PCI-AD/IO29/OFD0PCI_CORE/PCI_LC/PCI-AD/IO31/$1I2246/$1I8G=(G1*G3)+(~G3*G2)1PCI_CORE/PCI_LC/PCI-AD/IO30/$1I2246/$1I8F=(F1*F3)+(~F3*F4)8PCI_CORE/PCI_LC/PCI-AD/IO30/OFD5PCI_CORE/PCI_LC/PCI-AD/IO31/OFD0PCI_CORE/PCI_LC/PCI-AD/IO5/$1I2246/$1I8G=(G2*G3)+(~G3*G4)1PCI_CORE/PCI_LC/PCI-AD/IO4/$1I2246/$1I8F=(F1*F2)+(~F2*F4)8PCI_CORE/PCI_LC/PCI-AD/IO4/OFD5PCI_CORE/PCI_LC/PCI-AD/IO5/OFD0PCI_CORE/PCI_LC/PCI-AD/IO7/$1I2246/$1I8G=(G2*G3)+(~G3*G4)1PCI_CORE/PCI_LC/PCI-AD/IO6/$1I2246/$1I8F=(F4*F2)+(~F2*F1)8PCI_CORE/PCI_LC/PCI-AD/IO6/OFD5PCI_CORE/PCI_LC/PCI-AD/IO7/OFD0PCI_CORE/PCI_LC/PCI-AD/IO9/$1I2246/$1I8G=(G2*G1)+(~G1*G4)1PCI_CORE/PCI_LC/PCI-AD/IO8/$1I2246/$1I8F=(F4*F1)+(~F1*F2)5PCI_CORE/PCI_LC/PCI-AD/IO8/OFD8PCI_CORE/PCI_LC/PCI-AD/IO9/OFD0PCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/$2I3043G=G4*G31PCI_CORE/PCI_LC/PCI-CNTL/$1I787F=F3*F48PCI_CORE/PCI_LC/PCI-CNTL/EN_FF5PCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/CFGHIT0PCI_CORE/PCI_LC/PCI-CNTL/PCI-CE/CE1/G1/PCI_CORE/PCI_LC/CE1_1G=(G3*~G4)*G1*G21PCI_CORE/PCI_LC/PCI-CNTL/PCI-CE/CE1/G0/PCI_CORE/PCI_LC/CE1_0F=(F4*~F3)*F1*F20PCI_CORE/PCI_LC/PCI-CNTL/PCI-CE/CE1/G3/PCI_CORE/PCI_LC/CE1_3G=(G3*~G4)*G2*G11PCI_CORE/PCI_LC/PCI-CNTL/PCI-CE/CE1/G2/PCI_CORE/PCI_LC/CE1_2F=(F3*~F1)*F2*F40PCI_CORE/PCI_LC/PCI-CNTL/PCI-CE/CE3/G1/PCI_CORE/PCI_LC/CE3_1G=(G4*~G1)*G3*G21PCI_CORE/PCI_LC/PCI-CNTL/PCI-CE/CE15/G0/PCI_CORE/PCI_LC/CE15_0F=(F2*~F1)*F3*F40PCI_CORE/PCI_LC/PCI-CNTL/PCI-CE/CE4/G3/PCI_CORE/PCI_LC/CE4_3G=(G2*~G1)*G3*G41PCI_CORE/PCI_LC/PCI-CNTL/PCI-CE/CE4/G1/PCI_CORE/PCI_LC/CE4_1F=(F2*~F1)*F3*F40PCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/DEC-B/AND4/PCI_CORE/PCI_LC/PCI-CNTL/CMD11G=(G3*~G1)*G4*G21PCI_CORE/PCI_LC/PCI-CNTL/PCI-CE/CE4/G2/PCI_CORE/PCI_LC/CE4_2F=(F3*~F1)*F2*F48PCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/Q11/FDCE*BEL_PCI_CORE/PCI_LC/BAR0/$1N3071.HH=H10PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/$2I778G=(~G1*~G2)*~G4*~G31PCI_CORE/PCI_LC/BAR0/$1I2877F=F1+F45PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/LA0/FDCE8PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/LA1/FDCE*BEL_PCI_CORE/PCI_LC/PCI-ROM/SEL2.HH=H10PCI_CORE/PCI_LC/PCI-ROM/$1I7800/AND4/PCI_CORE/PCI_LC/PCI-ROM/SEL0G=(~G1*~G3)*~G2*~G41PCI_CORE/PCI_LC/PCI-ROM/$1I7802/AND4/PCI_CORE/PCI_LC/PCI-ROM/SEL2F=(F1*~F3)*F2*F48PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/LA2/FDCE5PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/LA3/FDCE*BEL_PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/X.HH=H11PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OR16/O/PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/XF=((((F3*F2)*F4*~F1)+((F3*F2)*~F4*~F1))+((F3*~F2)*F4*~F1)+((F3*~F2)*~F4*F1)+((F3*~F2)*~F4*~F1))+(((~F3*F2)*F4*F1)+((~F3*F2)*F4*~F1))+((~F3*F2)*~F4*F1)5PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/LA4/FDCE8PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/LA5/FDCE*BEL_PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/FORCE0/2.0.HH=H11PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/$2I882/PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/FORCE0/2.0F=(~F2*~F4)*(F3+F1)8PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/LA6/FDCE5PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/LA7/FDCE*BEL_ADDR<8>.HH=H15PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/LA8/FDCE8PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/LA9/FDCE*PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1I2751H=F*G0PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/DEC-0/AND4/PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1N2666G=(~G2*~G4)*~G1*~G31PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/0000/AND4/PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/AD7610-0000F=(~F3*~F4)*~F2*~F18PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/Q0/FDCE*PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1I2748H=H1*F1PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/DEC-1/AND4/PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1N2762F=(~F4*~F3)*~F2*F15PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/Q1/FDCE*PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1I2714H=H1*F0PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/$2I273/PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/$2N282G=(G2+G3)+G4+G11PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/DEC-B/AND4/PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1N2623F=(F4*~F3)*F2*F18PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/Q11/FDCE*PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1I2708H=H1*F1PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/DEC-D/AND4/PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1N2621F=(F4*F3)*~F2*F18PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/Q13/FDCE*PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1I2701H=H1*F1PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/DEC-F/AND4/PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1N2619F=(F1*F3)*F4*F28PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/Q15/FDCE*PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1I2745H=H1*F1PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/DEC-2/AND4/PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1N2668F=(~F3*~F1)*F4*~F25PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/Q2/FDCE*PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1I2742H=H1*F1PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/DEC-3/AND4/PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1N2764F=(~F1*~F4)*F2*F35PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/Q3/FDCE*PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1I2739H=H1*F1PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/DEC-4/AND4/PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1N2670F=(~F1*F3)*~F2*~F45PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/Q4/FDCE*PCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/$2I3034H=F*G0PCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/$2I2783G=((G2*~G1)*G4*~G3)+((G2*~G1)*G4*G3)1PCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/$2I3066F=F1*~F4*~F30PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$2I860G=G1+(G2*G3)1PCI_CORE/PCI_LC/$6I487F=F38PCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/LC2/FDCE0PCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/DEC-A/AND4/PCI_CORE/PCI_LC/PCI-CNTL/CMD10G=(G4*~G1)*G3*~G21PCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/DEC-1/AND4/PCI_CORE/PCI_LC/PCI-CNTL/CMD1F=(~F4*~F1)*~F3*F28PCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/Q1/FDCE5PCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/Q10/FDCE*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/$2I616/$1I12H=F+G0PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/$2I616/$1I11G=~((G2*G4)*G3)*G11PCI_CORE/PCI_LC/PCI-CNTL/$1I823F=~F3*F15PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/$2I616/FDPE1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/$2I617/$1I12F=F1+(~(F3*F4)*F2)5PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/$2I617/FDPE*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE1/$1I306H=H1+(~F*G)0PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/$2I592/PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/NS_OE_X/2.0G=((G4*G3)+G1)*G21PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE1/$1I310F=(F4*F3)*F28PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE1/FDPE*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE15/$1I306H=H1+(~F*G)1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE15/$1I310F=(F1*F3)*F25PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE15/FDPE*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE3/$1I306H=H1+(~F*G)1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE3/$1I310F=(F1*F3)*F45PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE3/FDPE*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE4/$1I306H=H1+(~F*G)1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE4/$1I310F=(F2*F4)*F18PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE4/FDPE0VOY_INST/registers/U626G=G3+G41PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/$1I548/PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/HITF=F3+F2*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/$1I602H=(~H1*G)+F0PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/$1I608G=G2+(G4*G3)1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/$1I503F=(~F2+(F4*F3))*F18PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/$2I7310PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/$1I705/PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/EQN-AG=(G3*G2)*(~G4+~G1)1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/$1I699F=(~F4+~F1)*F2*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/$1I724H=~(H1)*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/$1I1182H=F*G0PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/$1I1193G=G21PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/$1I1175F=~F2*F18VOY_INST/resolve/reg_sterm_reg/$1I13*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/$1I1252H=F*(H1+G)0PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/$1I1248/PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/FAST_TERM_WING=G4*(~G1+G2)1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/$1I1417F=(F2+(~F2*F1))+(F4*F3)0PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/$2I991/$1I2213G=~(G2+G4)*(~G3+G1)1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/$1I876/PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/IDATA_WINF=(F4*F3)*~F2*~F15PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/$2I991/FDCE0PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/$3I822G=~G4+G11PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/$2I1167F=F18PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/STOP*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/$1I503/PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/DATA_NS_DATAH=(H1*G)*F0PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/$1I479/PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/EQN-EG=(((G4*~G3)*~G1*~G2)+(~G2*G1))+((G2*G3)*G1)1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/$1I584F=F3+(~F3*F1)8PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/TRDYQ*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/$1I742H=F+G0PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/$1I723G=(~G1+(G2*G1))*G41PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/$1I736F=F20PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$2I801G=~G2+~G41PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/$3I856F=~F4*~F25PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$2I824*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/$3I873H=F+(~H1*G)1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/$1I746F=~(F1+((F2*F3)*F4))1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$1I1240F=~(~F1+F4)5PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_TRDY*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$1I1244H=~(~H1+F)1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$1I1228F=F1*F4*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$1I1266/PCI_CORE/PCI_LC/OE_PERRH=~((~G+~F)+~H1)1BEL_PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_PERR.FF=F15PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$1I1265/FDPE*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$2I911H=(F+G)*H10PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$2I635G=(~G2+(G3*G2))*G41PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$2I909F=F31PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$2I725F=~(~F2+F1)+F45PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_ADO_B1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$2I783F=~(~F2+F3)+F48PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_ADO_LB1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$2I702F=~(~F1+F3)+F25PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_ADO_LT1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$2I716F=~(~F1+F3)+F25PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_ADO_T*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$1I1103H=~(~H1*(F+G))0PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$1I1100/PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/EQN-AG=(G3*G1)*G4*~G21PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$1I1101/PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/EQN-BF=(F3*F1)*~F2*~F45PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_PERR_HOLD*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$1I822/PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_TRDY_INH=~((G+F)+H1)0PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$1I1235/PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/S_DATA_CONDG=(G1*~G3)*~G41PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$1I1014/PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/ACTIVEF=(~F2+~F1)+~F48PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_STOP*PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/$1I400H=F+(G*H1)0PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/$1I476G=(~G4+G3)*~G2*~G11PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/$1I486F=~F2*F4*PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/$1I583H=F0PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/$1I1373/$1I8G=G2+(~G2*G4)1PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/$1I579/PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/S_EQNF=(F2*F1)*~F4*PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/$1I596H=F+G0PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/$1I458G=~G1*G31PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/$1I525F=(~F3+~F1)*F21PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/$1I508F=F2+(F3*F4)5PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/FD0PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BUSY/$1I472G=(~G2+~G1)*G41PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BUSY/$1I469F=~F1*F4*PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BUSY/$1I547H=F+G0PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BUSY/$1I521G=~(G3+G4)*G21PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BUSY/$1I542F=~(F2+F4)*F38PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BUSY/FD*PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/$1I503H=F*H10PCI_CORE/PCI_LC/PCI-CNTL/$1I840/PCI_CORE/PCI_LC/PCI-CNTL/DSTRG=(G3*~G1)*~G21PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/$1I479/PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/EQN-EF=(((F1*~F3)*~F4*~F2)+(~F2*F4))+((F2*F3)*F4)0VOY_INST/registers/U628G=~(G1*~G4)1VOY_INST/registers/U763/VOY_INST/registers/n1407F=~((F2*F4)*F1*F3)5PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/$1I598*PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/$1I562/PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/NS_DATAH=(F+G)+H10PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/$1I465/PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/BUSY_NS_DATAG=(G4*G2)*(~G3+(G1*G3))1PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/$1I631/PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/CBUSY_NS_DATAF=F4*F28PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/FD*PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-IDLE/$1I497H=F+G0PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-IDLE/$1I496G=((~G3+~G2)*G1)*G41PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-IDLE/$1I498F=F3*F4*PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-IDLE/$1I337/PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-IDLE/NS_IDLEH=(H1+G)+F0PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-IDLE/$1I369G=G1*G21PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-IDLE/$1I321/PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-IDLE/BUSY_NS_IDLEF=(F3*F2)*F45PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-IDLE/FDS*BEL_STATUS<1>.HH=H18PCI_CORE/PCI_LC/PCI-CSR/CMDREG/Q15PCI_CORE/PCI_LC/PCI-CSR/CMDREG/Q00PCI_CORE/PCI_LC/$2I3590G=G31PCI_CORE/PCI_LC/PCI-CSR/STATREG/Q6/$1I2236F=((~F2*F1)*F3)+(~F3*F1)8PCI_CORE/PCI_LC/PCI-CSR/CMDREG/Q85PCI_CORE/PCI_LC/PCI-CSR/STATREG/Q6/FDCE0PCI_CORE/PCI_LC/PCI-CSR/STATREG/Q13/$1I2236G=G2+((~G4*G3)*G1)+(~G1*G3)1PCI_CORE/PCI_LC/PCI-CSR/STATREG/Q12/$1I2236F=F3+((~F2*F1)*F4)+(~F4*F1)8PCI_CORE/PCI_LC/PCI-CSR/STATREG/Q13/FDCE5PCI_CORE/PCI_LC/PCI-CSR/STATREG/Q12/FDCE0PCI_CORE/PCI_LC/PCI-CSR/STATREG/Q15/$1I2236 G=~G3+((~G2*G4)*G1)+(~G1*G4)1PCI_CORE/PCI_LC/PCI-CSR/STATREG/Q14/$1I2236 F=~F3+((~F4*F1)*F2)+(~F2*F1)5PCI_CORE/PCI_LC/PCI-CSR/STATREG/Q15/FDCE 8PCI_CORE/PCI_LC/PCI-CSR/STATREG/Q14/FDCE 0PCI_CORE/PCI_LC/PCI-CSR/STATREG/Q11/$1I2236 G=((~G3*G4)*G2)+(~G2*G4)1PCI_CORE/PCI_LC/PCI-CSR/STATREG/Q8/$1I2236 F=F4+((~F1*F2)*F3)+(~F3*F2)5PCI_CORE/PCI_LC/PCI-CSR/STATREG/Q8/FDCE 8PCI_CORE/PCI_LC/PCI-CSR/STATREG/Q11/FDCE *BEL_PCI_CORE/PCI_LC/IREG1.H H=H18PCI_CORE/PCI_LC/PCI-IREG/INT-LNX/Q1 5PCI_CORE/PCI_LC/PCI-IREG/INT-LNX/Q0 *VOY_INST/registers/xfer_padri/count0/ORL3 H=(F*G)+(H1*~G)0BEL_VOY_INST/registers/xfer_padri/count0/ORL3_OUT.G G=G21VOY_INST/registers/xfer_padri/U205 F=~(~(F2*F3)*~(~F2*F4))8PCI_CORE/PCI_LC/PCI-IREG/INT-LNX/Q5 5PCI_CORE/PCI_LC/PCI-IREG/INT-LNX/Q4 *BEL_VOY_INST/registers/xfer_padri/input_ll<2>.H H=H10VOY_INST/registers/xfer_ladri/U157 G=~(~(G2*G4)*~(G1*G3))1VOY_INST/registers/xfer_padri/U193 F=~(~(F3*F4)*~(~F3*F1))5PCI_CORE/PCI_LC/PCI-IREG/INT-LNX/Q6 8PCI_CORE/PCI_LC/PCI-IREG/INT-LNX/Q2 *VOY_INST/registers/xfer_padri/count0/ORL5H=(F*G)+(H1*~G)0BEL_VOY_INST/registers/xfer_padri/count0/ORL5_OUT.GG=G41VOY_INST/registers/xfer_padri/U213F=~(~(F3*F4)*~(~F3*F1))5PCI_CORE/PCI_LC/PCI-IREG/INT-LNX/Q78PCI_CORE/PCI_LC/PCI-IREG/INT-LNX/Q3*BEL_PCI_CORE/PCI_LC/PCI-PAR/DOQ0.HH=H15PCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q08PCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q1*BEL_PCI_CORE/PCI_LC/PCI-PAR/DOQ10.HH=H15PCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q108PCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q11*BEL_PCI_CORE/PCI_LC/PCI-PAR/DOQ12.HH=H15PCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q128PCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q13*BEL_PCI_CORE/PCI_LC/PCI-PAR/DOQ14.HH=H18PCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q145PCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q15*BEL_PCI_CORE/PCI_LC/PCI-PAR/DOQ2.HH=H15PCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q28PCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q3*BEL_PCI_CORE/PCI_LC/PCI-PAR/DOQ4.HH=H18PCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q45PCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q5*BEL_PCI_CORE/PCI_LC/PCI-PAR/DOQ6.HH=H15PCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q68PCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q7*BEL_PCI_CORE/PCI_LC/PCI-PAR/DOQ8.HH=H15PCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q88PCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q9*BEL_PCI_CORE/PCI_LC/PCI-PAR/DOQ16.HH=H15PCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q08PCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q1*BEL_PCI_CORE/PCI_LC/PCI-PAR/DOQ26.HH=H15PCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q108PCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q11*BEL_PCI_CORE/PCI_LC/PCI-PAR/DOQ28.HH=H18PCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q125PCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q13*BEL_PCI_CORE/PCI_LC/PCI-PAR/DOQ30.HH=H18PCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q145PCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q15*BEL_PCI_CORE/PCI_LC/PCI-PAR/DOQ18.HH=H18PCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q25PCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q3*BEL_PCI_CORE/PCI_LC/PCI-PAR/DOQ20.HH=H15PCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q48PCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q5*BEL_PCI_CORE/PCI_LC/PCI-PAR/DOQ22.HH=H15PCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q68PCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q7*BEL_PCI_CORE/PCI_LC/PCI-PAR/DOQ24.HH=H15PCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q88PCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q9*PCI_CORE/PCI_LC/PCI-PAR/$2I2763/PCI_CORE/PCI_LC/SET8H=(G*F)*~H11PCI_CORE/PCI_LC/$2I3600F=F38PCI_CORE/PCI_LC/PCI-PAR/$2I2766/$1I37 0PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$2I895!G=G2*(~G4+~G1)1PCI_CORE/PCI_LC/$4I3376!F=~F1*F38PCI_CORE/PCI_LC/PCI-PAR/$3I2652!*PCI_CORE/PCI_LC/PCI-PAR/$1I2589"H=~((G@H1)*F)0PCI_CORE/PCI_LC/PCI-PAR/X12/PCI_CORE/PCI_LC/PCI-PAR/PER"G=(G4@G1)@G2@G31PCI_CORE/PCI_LC/PCI-PAR/$1I2641/PCI_CORE/PCI_LC/PCI-PAR/PWIN"F=(F1+F3)+F45PCI_CORE/PCI_LC/PCI-PAR/PERRQ"*PCI_CORE/PCI_LC/PCI-PAR/X1/PCI_CORE/PCI_LC/PCI-PAR/P0I#H=(G@H1)@F0PCI_CORE/PCI_LC/PCI-PAR/X2/PCI_CORE/PCI_LC/PCI-PAR/X1I#G=(G3@G2)@G4@G11PCI_CORE/PCI_LC/PCI-PAR/X0/PCI_CORE/PCI_LC/PCI-PAR/X0I#F=(F1@F3)@F4@F2*PCI_CORE/PCI_LC/PCI-PAR/X10/PCI_CORE/PCI_LC/PCI-PAR/P3I$H=(G@H1)@F0PCI_CORE/PCI_LC/PCI-PAR/X11/PCI_CORE/PCI_LC/PCI-PAR/X7I$G=(G1@G2)@G4@G31PCI_CORE/PCI_LC/PCI-PAR/X9/PCI_CORE/PCI_LC/PCI-PAR/X6I$F=(F1@F4)@F3@F2*PCI_CORE/PCI_LC/PCI-PAR/X14/PCI_CORE/PCI_LC/PCI-PAR/P0O%H=G@F0PCI_CORE/PCI_LC/PCI-PAR/X15/PCI_CORE/PCI_LC/PCI-PAR/X1O%G=(G2@G1)@G3@G41PCI_CORE/PCI_LC/PCI-PAR/X13/PCI_CORE/PCI_LC/PCI-PAR/X0O%F=(F4@F1)@F3@F2*PCI_CORE/PCI_LC/PCI-PAR/X17/PCI_CORE/PCI_LC/PCI-PAR/P1O&H=G@F0PCI_CORE/PCI_LC/PCI-PAR/X18/PCI_CORE/PCI_LC/PCI-PAR/X3O&G=(G3@G1)@G2@G41PCI_CORE/PCI_LC/PCI-PAR/X16/PCI_CORE/PCI_LC/PCI-PAR/X2O&F=(F1@F4)@F3@F2*PCI_CORE/PCI_LC/PCI-PAR/X20/PCI_CORE/PCI_LC/PCI-PAR/P2O'H=G@F0PCI_CORE/PCI_LC/PCI-PAR/X21/PCI_CORE/PCI_LC/PCI-PAR/X5O'G=(G3@G4)@G2@G11PCI_CORE/PCI_LC/PCI-PAR/X19/PCI_CORE/PCI_LC/PCI-PAR/X4O'F=(F1@F3)@F4@F2*PCI_CORE/PCI_LC/PCI-PAR/X23/PCI_CORE/PCI_LC/PCI-PAR/P3O(H=G@F0PCI_CORE/PCI_LC/PCI-PAR/X24/PCI_CORE/PCI_LC/PCI-PAR/X7O(G=(G3@G2)@G4@G11PCI_CORE/PCI_LC/PCI-PAR/X22/PCI_CORE/PCI_LC/PCI-PAR/X6O(F=(F2@F1)@F4@F31PCI_CORE/PCI_LC/PCI-PAR/X25/PCI_CORE/PCI_LC/PCI-PAR/AD_PAR)F=(F4@F2)@F3@F1*PCI_CORE/PCI_LC/PCI-PAR/X4/PCI_CORE/PCI_LC/PCI-PAR/P1I*H=(G@H1)@F0PCI_CORE/PCI_LC/PCI-PAR/X5/PCI_CORE/PCI_LC/PCI-PAR/X3I*G=(G3@G2)@G1@G41PCI_CORE/PCI_LC/PCI-PAR/X3/PCI_CORE/PCI_LC/PCI-PAR/X2I*F=(F2@F4)@F1@F3*PCI_CORE/PCI_LC/PCI-PAR/X7/PCI_CORE/PCI_LC/PCI-PAR/P2I+H=(G@H1)@F0PCI_CORE/PCI_LC/PCI-PAR/X8/PCI_CORE/PCI_LC/PCI-PAR/X5I+G=(G4@G1)@G3@G21PCI_CORE/PCI_LC/PCI-PAR/X6/PCI_CORE/PCI_LC/PCI-PAR/X4I+F=(F3@F2)@F1@F41PCI_CORE/PCI_LC/PCI-ROM/MUX22/$1I337/PCI_CORE/PCI_LC/MD22,F=F1+F3*PCI_CORE/PCI_LC/PCI-ROM/MUX27/$1I337/PCI_CORE/PCI_LC/MD27-H=H1+F1PCI_CORE/PCI_LC/PCI-ROM/$1I7801/AND4/PCI_CORE/PCI_LC/PCI-ROM/SEL1-F=(~F3*~F1)*F2*~F45PCI_CORE/PCI_LC/PERR/OFD.*PCI_CORE/PCI_LC/INITIATOR_CNTL/FRAME/$1I2517/H=~((~F*H1)+G)0PCI_CORE/PCI_LC/INITIATOR_CNTL/FRAME/$1I2522/G=G4*G3*G21PCI_CORE/PCI_LC/INITIATOR_CNTL/$1I2812/F=F15PCI_CORE/PCI_LC/Q1/OFD/*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/$3I611/$1I80H=(F*H1)+(~H1*G)0PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/$3I8990G=G31PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/$3I652/PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/SWAN0F=~((F1*F3)*~F2*F4)5PCI_CORE/PCI_LC/Q14/OFD08PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/TRDY01PCI_CORE/PCI_LC/Q15/$1I22411F=F38PCI_CORE/PCI_LC/Q15/IFDI1*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/$3I615/$1I82H=(G*H1)+(~H1*F)0PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/$3I6162G=~(~G3*G2)1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/$3I821/PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/SWAN12F=((F4*F1)+(~F2*F4))+(~F2*F3)5PCI_CORE/PCI_LC/Q15/OFD2*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/$2I7623H=~(~F*(~H1+G))0PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/$1I11453G=(~(~G3*G2)*G4)+G11PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/$2I11273F=((F1*~F3)*~F2)+(~F4*F1)5PCI_CORE/PCI_LC/Q16/OFD3*PCI_CORE/PCI_LC/INITIATOR_CNTL/IRDY/$1I26614H=~(~(H1*G)*F)0PCI_CORE/PCI_LC/INITIATOR_CNTL/IRDY/$1I2712/PCI_CORE/PCI_LC/INITIATOR_CNTL/IRDY/EQN-D4G=((G1+G2)*~G3)*~G41PCI_CORE/PCI_LC/INITIATOR_CNTL/IRDY/$1I26604F=~(F1*F4)*~(~F3*F1)*F25PCI_CORE/PCI_LC/Q2/OFD45PCI_CORE/PCI_LC/SERR_FF5*BEL_PCI_CORE/PCI_LC/SHADOW0.H6H=H18PCI_CORE/PCI_LC/SHD/LOWER/Q065PCI_CORE/PCI_LC/SHD/LOWER/Q16*BEL_PCI_CORE/PCI_LC/SHADOW10.H7H=H18PCI_CORE/PCI_LC/SHD/LOWER/Q1075PCI_CORE/PCI_LC/SHD/LOWER/Q117*BEL_PCI_CORE/PCI_LC/SHADOW12.H8H=H15PCI_CORE/PCI_LC/SHD/LOWER/Q1288PCI_CORE/PCI_LC/SHD/LOWER/Q138*BEL_PCI_CORE/PCI_LC/SHADOW14.H9H=H15PCI_CORE/PCI_LC/SHD/LOWER/Q1498PCI_CORE/PCI_LC/SHD/LOWER/Q159*BEL_PCI_CORE/PCI_LC/SHADOW2.H:H=H18PCI_CORE/PCI_LC/SHD/LOWER/Q2:5PCI_CORE/PCI_LC/SHD/LOWER/Q3:*BEL_PCI_CORE/PCI_LC/SHADOW4.H;H=H18PCI_CORE/PCI_LC/SHD/LOWER/Q4;5PCI_CORE/PCI_LC/SHD/LOWER/Q5;*BEL_PCI_CORE/PCI_LC/SHADOW6.H<H=H18PCI_CORE/PCI_LC/SHD/LOWER/Q6<5PCI_CORE/PCI_LC/SHD/LOWER/Q7<*VOY_INST/registers/controli/U406/VOY_INST/registers/controli/n213<9>=H=(H1+F)+G0BEL_VOY_INST/registers/controli/n1007.G=G=G41VOY_INST/registers/controli/U310/VOY_INST/registers/controli/n1007=F=(F4*~F1)*F28PCI_CORE/PCI_LC/SHD/LOWER/Q8=5PCI_CORE/PCI_LC/SHD/LOWER/Q9=*BEL_VOY_INST/registers/xfer_padri/input_mh<1>.H>H=H10VOY_INST/registers/xfer_padri/U217>G=~(~(G2*G4)*~(~G2*G1))1VOY_INST/registers/xfer_padri/U221>F=~(~(F4*F2)*~(~F4*F3))8PCI_CORE/PCI_LC/SHD/UPPER/Q0>5PCI_CORE/PCI_LC/SHD/UPPER/Q1>*BEL_VOY_INST/registers/xfer_padri/input_hh<3>.H?H=H10VOY_INST/registers/xfer_padri/U169?G=~(~(G3*G4)*~(~G3*G1))1VOY_INST/registers/xfer_padri/U173?F=~(~(F3*F2)*~(~F3*F4))5PCI_CORE/PCI_LC/SHD/UPPER/Q10?8PCI_CORE/PCI_LC/SHD/UPPER/Q11?*BEL_VOY_INST/registers/xfer_padri/input_hh<5>.H@H=H10VOY_INST/registers/xfer_padri/U177@G=~(~(G3*G2)*~(~G3*G4))1VOY_INST/registers/xfer_padri/U181@F=~(~(F2*F4)*~(~F2*F1))8PCI_CORE/PCI_LC/SHD/UPPER/Q12@5PCI_CORE/PCI_LC/SHD/UPPER/Q13@*BEL_VOY_INST/registers/xfer_padri/input_hh<7>.HAH=H10VOY_INST/registers/xfer_padri/U185AG=~(~(G3*G4)*~(~G3*G1))1VOY_INST/registers/xfer_padri/U189AF=~(~(F4*F2)*~(~F4*F1))5PCI_CORE/PCI_LC/SHD/UPPER/Q14A8PCI_CORE/PCI_LC/SHD/UPPER/Q15A*BEL_VOY_INST/registers/xfer_padri/input_mh<3>.HBH=H10VOY_INST/registers/xfer_padri/U225BG=~(~(G4*G2)*~(~G4*G3))1VOY_INST/registers/xfer_padri/U229BF=~(~(F3*F4)*~(~F3*F2))5PCI_CORE/PCI_LC/SHD/UPPER/Q2B8PCI_CORE/PCI_LC/SHD/UPPER/Q3B*BEL_VOY_INST/registers/xfer_padri/input_mh<5>.HCH=H10VOY_INST/registers/xfer_padri/U233CG=~(~(G4*G2)*~(~G4*G1))1VOY_INST/registers/xfer_padri/U237CF=~(~(F1*F4)*~(~F1*F2))5PCI_CORE/PCI_LC/SHD/UPPER/Q4C8PCI_CORE/PCI_LC/SHD/UPPER/Q5C*BEL_VOY_INST/registers/xfer_padri/input_mh<7>.HDH=H10VOY_INST/registers/xfer_padri/U241DG=~(~(G4*G2)*~(~G4*G1))1VOY_INST/registers/xfer_padri/U245DF=~(~(F3*F4)*~(~F3*F1))8PCI_CORE/PCI_LC/SHD/UPPER/Q6D5PCI_CORE/PCI_LC/SHD/UPPER/Q7D*BEL_VOY_INST/registers/xfer_padri/input_hh<1>.HEH=H10VOY_INST/registers/xfer_padri/U161EG=~(~(G3*G4)*~(~G3*G1))1VOY_INST/registers/xfer_padri/U165EF=~(~(F3*F2)*~(~F3*F4))5PCI_CORE/PCI_LC/SHD/UPPER/Q8E8PCI_CORE/PCI_LC/SHD/UPPER/Q9E*PCI_CORE/PCI_LC/$4I3545FH=F0PCI_CORE/PCI_LC/$4I3539FG=G21VOY_INST/transfer/U1394FF=~F2*F48PCI_CORE/PCI_LC/SHD_CBE/Q0F5PCI_CORE/PCI_LC/SHD_CBE/Q2F*PCI_CORE/PCI_LC/$4I3542GH=F0VOY_INST/transfer/U1292GG=(G1+G2)*G41VOY_INST/transfer/U1282GF=~(F2)8PCI_CORE/PCI_LC/SHD_CBE/Q1G5VOY_INST/transfer/precomp1_reg/$1I13G*PCI_CORE/PCI_LC/SRC_EN/$1I631HH=G*F0VOY_INST/registers/controli/U290HG=G11PCI_CORE/PCI_LC/SRC_EN/$1I615/PCI_CORE/PCI_LC/SRC_EN/MDATA_EQNHF=F1*F4*~F2PCI_CORE/PCI_LC/X/LOWER/T0IPCI_CORE/PCI_LC/X/LOWER/T1JPCI_CORE/PCI_LC/X/LOWER/T10KPCI_CORE/PCI_LC/X/LOWER/T11LPCI_CORE/PCI_LC/X/LOWER/T12MPCI_CORE/PCI_LC/X/LOWER/T13NPCI_CORE/PCI_LC/X/LOWER/T14OPCI_CORE/PCI_LC/X/LOWER/T15PPCI_CORE/PCI_LC/X/LOWER/T2QPCI_CORE/PCI_LC/X/LOWER/T3RPCI_CORE/PCI_LC/X/LOWER/T4SPCI_CORE/PCI_LC/X/LOWER/T5TPCI_CORE/PCI_LC/X/LOWER/T6UPCI_CORE/PCI_LC/X/LOWER/T7VPCI_CORE/PCI_LC/X/LOWER/T8WPCI_CORE/PCI_LC/X/LOWER/T9XPCI_CORE/PCI_LC/X/UPPER/T0YPCI_CORE/PCI_LC/X/UPPER/T1ZPCI_CORE/PCI_LC/X/UPPER/T10[PCI_CORE/PCI_LC/X/UPPER/T11\PCI_CORE/PCI_LC/X/UPPER/T12]PCI_CORE/PCI_LC/X/UPPER/T13^PCI_CORE/PCI_LC/X/UPPER/T14_PCI_CORE/PCI_LC/X/UPPER/T15`PCI_CORE/PCI_LC/X/UPPER/T2aPCI_CORE/PCI_LC/X/UPPER/T3bPCI_CORE/PCI_LC/X/UPPER/T4cPCI_CORE/PCI_LC/X/UPPER/T5dPCI_CORE/PCI_LC/X/UPPER/T6ePCI_CORE/PCI_LC/X/UPPER/T7fPCI_CORE/PCI_LC/X/UPPER/T8gPCI_CORE/PCI_LC/X/UPPER/T9hPCLK.PADiPCI_CORE/PCI_LC/PERR/$1I2241jPERR_N.PADjPERR_N.OUTBUFjBEL_PERR_N.DELAYjPCI_CORE/PCI_LC/PERR/IFDI/$1I37jBEL_REQ_N.OUTBUFkREQ_N.PADkPCI_CORE/PCI_LC/INITIATOR_CNTL/REQ_OFFkRST_N.PADlPCI_CORE/PCI_LC/$6I5lPCI_CORE/PCI_LC/$4I3552mSERR_N.PADmPCI_CORE/PCI_LC/Q16/$1I2241nSTOP_N.PADnPCI_CORE/PCI_LC/Q16/$1I2228nBEL_STOP_N.DELAYnPCI_CORE/PCI_LC/Q16/IFDI/$1I37nPCI_CORE/PCI_LC/Q15/$1I2250oTRDY_N.PADoPCI_CORE/PCI_LC/Q15/$1I2228o*BEL_VOY_INST/registers/n1389.HpH=H10VOY_INST/registers/U860/VOY_INST/registers/n1411pG=((~G2+G4)*(G1+G2))*G31VOY_INST/registers/U864/VOY_INST/registers/n1389pF=((~F2+F1)*(F4+F2))*F38VOY_INST/registers/addr_shadow_reg<6>p5VOY_INST/registers/addr_shadow_reg<7>p*VOY_INST/registers/controli/U408/VOY_INST/registers/controli/n297<8>qH=(H1+F)+G0BEL_VOY_INST/registers/controli/n1000.GqG=G41VOY_INST/registers/controli/U312/VOY_INST/registers/controli/n1000qF=(F2*~F3)*F15VOY_INST/registers/addr_shadow_reg<8>q8VOY_INST/registers/addr_shadow_reg<9>q*VOY_INST/registers/controli/U359rH=~(~G*~(F*H1))0VOY_INST/registers/controli/U363rG=~(~(G2*G4)*((G1+~G3)+G2))1VOY_INST/registers/controli/U433rF=~F4*F18VOY_INST/registers/controli/ctrl_bits_reg<12>r*VOY_INST/registers/controli/U366sH=~(~F*~(G*H1))0VOY_INST/registers/controli/U356sG=~(~(~G4*G1)*(~G4+G3))1VOY_INST/registers/controli/U370sF=~(~(F2*F4)*((F1+~F3)+F2))8VOY_INST/registers/controli/ctrl_bits_reg<13>s*VOY_INST/registers/controli/U373tH=~(~F*~(G*H1))0VOY_INST/registers/controli/U345tG=~((~G3+G4)*~(~G3*~(G2*G1)))1VOY_INST/registers/controli/U377tF=~(~(F2*F3)*((F1+~F4)+F2))8VOY_INST/registers/controli/ctrl_bits_reg<14>t*VOY_INST/registers/controli/U380uH=~(~F*~(G*H1))0VOY_INST/registers/controli/U308uG=~(~(~G1*G2)*(~G1+G3))1VOY_INST/registers/controli/U384uF=~(~(F3*F4)*((F1+~F2)+F3))8VOY_INST/registers/controli/ctrl_bits_reg<15>u0VOY_INST/registers/controli/U337vG=~(((G3+G4)+~G1)*G2)1VOY_INST/registers/controli/U334vF=~(((F3+F4)+~F1)*F2)8VOY_INST/registers/controli/ctrl_bits_reg<16>v5VOY_INST/registers/controli/ctrl_bits_reg<32>v*VOY_INST/transfer/U1293wH=H1*G0VOY_INST/transfer/U1366/VOY_INST/inc_pawG=((G1+G3)*~(G3*G4))*G21VOY_INST/transfer/U1495/VOY_INST/transfer/n3280wF=(F2*F3)*F1*F45VOY_INST/registers/controli/ctrl_bits_reg<17>/$1I13w8VOY_INST/registers/xfer_padri/incr_mode_reg/$1I13w*VOY_INST/registers/controli/U427xH=~(~F*~(G*H1))0VOY_INST/registers/controli/U304xG=~(~(~G1*G2)*(~G1+G3))1VOY_INST/registers/controli/U431xF=~(~(F3*F4)*((F1+~F2)+F3))5VOY_INST/registers/controli/ctrl_bits_reg<2>x*VOY_INST/registers/controli/U410yH=F+G0VOY_INST/registers/controli/U413yG=~(~(G1*G4)*~(G2*G3))1VOY_INST/registers/controli/U417/VOY_INST/registers/controli/n1250yF=(~(~F2*F4)*(~F2+F3))*F15VOY_INST/registers/controli/ctrl_bits_reg<30>y0VOY_INST/transfer/U1302zG=~(G3*G2)1VOY_INST/registers/controli/U340zF=~(((F1+F3)+~F4)*F2)8VOY_INST/registers/controli/ctrl_bits_reg<33>z*VOY_INST/registers/controli/U387{H=~(~F*~(G*H1))0VOY_INST/registers/U835{G=~(~G2*~((G4*G1)*G3))1VOY_INST/registers/controli/U391{F=~(~(F4*F2)*((F1+~F3)+F4))5VOY_INST/registers/controli/ctrl_bits_reg<3>{*VOY_INST/registers/controli/U394|H=~(~F*~(G*H1))0VOY_INST/registers/U844|G=~(~G2*~((G3*G4)*G1))1VOY_INST/registers/controli/U398|F=~(~(F1*F2)*((F4+~F3)+F1))8VOY_INST/registers/controli/ctrl_bits_reg<6>|*VOY_INST/registers/controli/U401}H=~(~F*~(G*H1))0VOY_INST/registers/U847}G=~(~G2*~((G4*G1)*G3))1VOY_INST/registers/controli/U405}F=~(~(F2*F4)*((F1+~F3)+F2))8VOY_INST/registers/controli/ctrl_bits_reg<7>}0VOY_INST/registers/U850~G=~(~G1*~((G3*G4)*G2))1VOY_INST/registers/controli/U349~F=F1+((F2*~F4)*~F3)8VOY_INST/registers/controli/ctrl_bits_reg<8>~0VOY_INST/registers/U632/VOY_INST/registers/n1347G=((~G2+G1)*(G4+G2))*G31VOY_INST/registers/controli/U331F=F2+((F1*~F4)*~F3)5VOY_INST/registers/controli/ctrl_bits_reg<9>*VOY_INST/registers/controli/U295H=~(~F*~(H1*G))0VOY_INST/registers/xfer_leni/U273G=~(~((G4+G3)*~G1)*G2)1VOY_INST/registers/controli/U297/VOY_INST/registers/controli/n1144F=(F4*~F1)*F35VOY_INST/registers/controli/go_strobe_reg/$1I130VOY_INST/registers/controli/U407G=G1*G21VOY_INST/registers/controli/U352F=~(~(F3*F2)*~(F1*F4))5VOY_INST/registers/controli/in_strobe_reg/$1I13*VOY_INST/registers/controli/U418H=F1VOY_INST/registers/controli/U421F=~(~(F2*F1)*~(F3*F4))8VOY_INST/registers/controli/lint_d1_reg/$1I135VOY_INST/registers/controli/lint_d2_reg/$1I13*VOY_INST/registers/controli/U434H=F1VOY_INST/registers/controli/U437F=~(~(F4*F2)*~(F3*F1))8VOY_INST/registers/controli/pint_d1_reg/$1I135VOY_INST/registers/controli/pint_d2_reg/$1I130VOY_INST/registers/U829G=~(~G2*~((G3*G4)*G1))1VOY_INST/registers/controli/U292F=F4*VOY_INST/registers/controli/U298H=H1+F1VOY_INST/registers/controli/U300F=~F3*F2*BEL_VOY_INST/registers/ldin_delayed<10>.HH=H15VOY_INST/registers/ldin_registered_reg<10>/$1I138VOY_INST/registers/ldin_registered_reg<11>/$1I13*BEL_VOY_INST/registers/ldin_delayed<12>.HH=H18VOY_INST/registers/ldin_registered_reg<12>/$1I135VOY_INST/registers/ldin_registered_reg<13>/$1I13*BEL_VOY_INST/registers/ldin_delayed<14>.HH=H15VOY_INST/registers/ldin_registered_reg<14>/$1I138VOY_INST/registers/ldin_registered_reg<15>/$1I13*BEL_VOY_INST/registers/ldin_delayed<16>.HH=H18VOY_INST/registers/ldin_registered_reg<16>/$1I135VOY_INST/registers/ldin_registered_reg<17>/$1I13*BEL_VOY_INST/registers/xfer_leni/n526.HH=H10VOY_INST/registers/xfer_leni/U229G=~(~(G4*G3)*~(G2*G1))1VOY_INST/registers/xfer_leni/U226F=~(~(F4*F1)*~(F3*F2))5VOY_INST/registers/ldin_registered_reg<18>/$1I138VOY_INST/registers/ldin_registered_reg<19>/$1I13*BEL_VOY_INST/registers/xfer_leni/n523.HH=H10VOY_INST/registers/xfer_leni/U235G=~(~(G2*G4)*~(G3*G1))1VOY_INST/registers/xfer_leni/U232F=~(~(F2*F1)*~(F3*F4))5VOY_INST/registers/ldin_registered_reg<20>/$1I138VOY_INST/registers/ldin_registered_reg<21>/$1I13*BEL_VOY_INST/registers/xfer_leni/n521.HH=H10VOY_INST/registers/xfer_leni/U241G=~(~(G4*G2)*~(G1*G3))1VOY_INST/registers/xfer_leni/U238F=~(~(F4*F2)*~(F1*F3))5VOY_INST/registers/ldin_registered_reg<22>/$1I138VOY_INST/registers/ldin_registered_reg<23>/$1I13*BEL_VOY_INST/registers/ldin_delayed<24>.HH=H18VOY_INST/registers/ldin_registered_reg<24>/$1I135VOY_INST/registers/ldin_registered_reg<25>/$1I13*BEL_VOY_INST/registers/ldin_delayed<26>.HH=H18VOY_INST/registers/ldin_registered_reg<26>/$1I135VOY_INST/registers/ldin_registered_reg<27>/$1I13*BEL_VOY_INST/registers/ldin_delayed<28>.HH=H18VOY_INST/registers/ldin_registered_reg<28>/$1I135VOY_INST/registers/ldin_registered_reg<29>/$1I13*BEL_VOY_INST/registers/xfer_ladri/n287.HH=H10VOY_INST/registers/xfer_ladri/U172G=~(~(G4*G2)*~(G1*G3))1VOY_INST/registers/xfer_ladri/U160F=~(~(F1*F3)*~(F2*F4))8VOY_INST/registers/ldin_registered_reg<2>/$1I135VOY_INST/registers/ldin_registered_reg<3>/$1I13*BEL_VOY_INST/registers/ldin_delayed<30>.HH=H18VOY_INST/registers/ldin_registered_reg<30>/$1I135VOY_INST/registers/ldin_registered_reg<31>/$1I13*BEL_VOY_INST/registers/xfer_ladri/n286.HH=H10VOY_INST/registers/xfer_ladri/U166G=~(~(G2*G4)*~(G3*G1))1VOY_INST/registers/xfer_ladri/U163F=~(~(F2*F1)*~(F3*F4))5VOY_INST/registers/ldin_registered_reg<4>/$1I138VOY_INST/registers/ldin_registered_reg<5>/$1I13*BEL_VOY_INST/registers/xfer_ladri/n284.HH=H11VOY_INST/registers/xfer_ladri/U169F=~(~(F1*F4)*~(F2*F3))5VOY_INST/registers/ldin_registered_reg<6>/$1I138VOY_INST/registers/ldin_registered_reg<7>/$1I13*BEL_VOY_INST/registers/controli/n999.HH=H11VOY_INST/registers/controli/U409F=F2*F45VOY_INST/registers/ldin_registered_reg<8>/$1I138VOY_INST/registers/ldin_registered_reg<9>/$1I13VOY_INST/registers/U548VOY_INST/registers/U549VOY_INST/registers/U550VOY_INST/registers/U551VOY_INST/registers/U552VOY_INST/registers/U553VOY_INST/registers/U554VOY_INST/registers/U555VOY_INST/registers/U556VOY_INST/registers/U557VOY_INST/registers/U558VOY_INST/registers/U559VOY_INST/registers/U560VOY_INST/registers/U561VOY_INST/registers/U562VOY_INST/registers/U563VOY_INST/registers/U564VOY_INST/registers/U565VOY_INST/registers/U566VOY_INST/registers/U567VOY_INST/registers/U568VOY_INST/registers/U569VOY_INST/registers/U570VOY_INST/registers/U571VOY_INST/registers/U572VOY_INST/registers/U573VOY_INST/registers/U574VOY_INST/registers/U575VOY_INST/registers/U576VOY_INST/registers/U577VOY_INST/registers/U578VOY_INST/registers/U5791VOY_INST/registers/U622/VOY_INST/registers/n1414F=~((F1*F4)*F3*F2)1VOY_INST/registers/U623/VOY_INST/registers/n1412F=~((F4*F1)*F3*F2)1VOY_INST/registers/U624/VOY_INST/registers/n1409F=~((F1*F4)*F2*F3)1VOY_INST/registers/U625/VOY_INST/registers/n1401F=~((F1*F2)*F3*F4)0VOY_INST/registers/U854G=G1+~((~G2+G4)+~G3)1VOY_INST/registers/U638F=~(((~F4+~F2)+F3)*~((F1*~F4)*F3))1VOY_INST/registers/U644/VOY_INST/registers/n1405F=~((F3*F2)*F1*F4)0VOY_INST/registers/U753/VOY_INST/registers/n1373G=(~G4*(G3+G4))*G11VOY_INST/registers/U659F=~(((~F1+~F4)+F3)*~((F2*~F1)*F3))0VOY_INST/registers/U744G=~(((~G4+~G3)+G2)*~((G1*~G4)*G2))1VOY_INST/registers/U668F=~(((~F4+~F2)+F3)*~((F1*~F4)*F3))0VOY_INST/registers/U734G=~(((~G3+~G2)+G1)*~((G4*~G3)*G1))1VOY_INST/registers/U676/VOY_INST/registers/n1355F=~((F1+~(F4+F3))+(F3*~F2))0VOY_INST/registers/U716/VOY_INST/registers/n1365G=~((G3+~(G1+G2))+(G2*~G4))1VOY_INST/registers/U692/VOY_INST/registers/n1359F=~((F3+~(F1+F2))+(F2*~F4))0VOY_INST/registers/U712/VOY_INST/registers/n1366G=(~G3*(G2+G3))*G11VOY_INST/registers/U696/VOY_INST/registers/n1362F=~((F4+~(F3+F1))+(F1*~F2))0VOY_INST/registers/U708/VOY_INST/registers/n1363G=~((G2+~(G1+G4))+(G4*~G3))1VOY_INST/registers/U700/VOY_INST/registers/n1361F=~((F2+~(F1+F4))+(F4*~F3))1VOY_INST/registers/U704/VOY_INST/registers/n1364F=~((F4+~(F1+F2))+(F2*~F3))1VOY_INST/registers/U754/VOY_INST/registers/n1424F=~((F1*F4)*F2*F3)1VOY_INST/registers/U755/VOY_INST/registers/n1403F=~((F3*F1)*F4*F2)1VOY_INST/registers/U756/VOY_INST/registers/n1391F=~((F4*F2)*F3*F1)1VOY_INST/registers/U757/VOY_INST/registers/n1416F=~((F3*F4)*F2*F1)1VOY_INST/registers/U758/VOY_INST/registers/n1418F=~((F3*F2)*F4*F1)1VOY_INST/registers/U759/VOY_INST/registers/n1398F=~((F1*F2)*F3*F4)1VOY_INST/registers/U760/VOY_INST/registers/n1420F=~((F3*F2)*F1*F4)1VOY_INST/registers/U761/VOY_INST/registers/n1379F=~((F1*F3)*F2*F4)1VOY_INST/registers/U762/VOY_INST/registers/n1387F=~((F1*F2)*F3*F4)0VOY_INST/registers/U766G=G11VOY_INST/registers/U770F=F4+~((~F1+F3)+~F2)0VOY_INST/registers/U773G=~(~G2*~((G3*G1)*G4))1VOY_INST/registers/U776F=~(~F1*~((F3*F2)*F4))0VOY_INST/registers/U779G=~(~G1*~((G3*G2)*G4))1VOY_INST/registers/U782F=~(~F3*~((F1*F2)*F4))0VOY_INST/registers/U790G=G2+((G1*~G4)*~G3)1VOY_INST/registers/U794F=F1+((F2*~F4)*~F3)1VOY_INST/registers/U797F=F40VOY_INST/registers/U800G=G11VOY_INST/registers/U803F=F20VOY_INST/registers/U806G=G41VOY_INST/registers/U809F=F21VOY_INST/registers/U816F=F10VOY_INST/registers/U819G=G21VOY_INST/registers/U822F=F21VOY_INST/registers/U832F=F20VOY_INST/registers/U838G=G21VOY_INST/registers/U841F=F30VOY_INST/registers/U866/VOY_INST/registers/n1635G=(G3*G4)*G21VOY_INST/registers/U876F=F3*F18VOY_INST/registers/wep_3c0_reg/$1I130VOY_INST/registers/U878G=G3*G21VOY_INST/registers/U877F=F3*F2*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/$1I1253H=H1*(F+G)1PCI_CORE/PCI_LC/BAR0/$1I2986F=F28VOY_INST/registers/want_backend_reg0VOY_INST/registers/U874/VOY_INST/registers/n1641G=(G4*~G1)*G31VOY_INST/registers/U870/VOY_INST/registers/n1639F=~((~F4+F3)+F1)5VOY_INST/registers/wel_300_reg/$1I138VOY_INST/registers/wel_380_reg/$1I13*VOY_INST/registers/U888/VOY_INST/registers/n1647H=(F*~G)*H10VOY_INST/registers/U865/VOY_INST/registers/n1632G=(G1*G2)*G31VOY_INST/registers/U889/VOY_INST/registers/n1329F=(F1*F4)*F25VOY_INST/registers/wel_340_reg/$1I138VOY_INST/registers/wel_3c0_reg/$1I130VOY_INST/registers/U872/VOY_INST/registers/n1638G=(G1*~G2)*G31VOY_INST/registers/U868/VOY_INST/registers/n1634F=~((~F1+F3)+F2)8VOY_INST/registers/wep_300_reg/$1I135VOY_INST/registers/wep_380_reg/$1I13*VOY_INST/registers/U885/VOY_INST/registers/n1645H=(F*~G)*H10VOY_INST/registers/U880G=G1*G21VOY_INST/registers/U886/VOY_INST/registers/n1330F=(F4*F1)*F28VOY_INST/registers/wep_340_reg/$1I135VOY_INST/registers/bar0_wr_reg0VOY_INST/registers/xfer_ladri/U186G=G1+((G4+G3)*G2)1VOY_INST/registers/xfer_ladri/U183F=F2+((F4+F1)*F3)5VOY_INST/registers/xfer_ladri/ladr_bits_reg<0>8VOY_INST/registers/xfer_ladri/ladr_bits_reg<1>*VOY_INST/registers/xfer_ladri/U212H=F+G0VOY_INST/registers/xfer_ladri/U215G=~(~(G3*G2)*~(G4*G1))1VOY_INST/registers/xfer_ladri/U218F=~(~(F2*F1)*~(F4*F3))5VOY_INST/registers/xfer_ladri/ladr_bits_reg<10>*VOY_INST/registers/xfer_ladri/U219H=F+G0VOY_INST/registers/xfer_ladri/U222G=~(~(G3*G4)*~(G1*G2))1VOY_INST/registers/xfer_ladri/U225F=~(~(F4*F1)*~(F2*F3))8VOY_INST/registers/xfer_ladri/ladr_bits_reg<11>*VOY_INST/registers/xfer_ladri/U226H=F+G0VOY_INST/registers/xfer_ladri/U229G=~(~(G1*G4)*~(G3*G2))1VOY_INST/registers/xfer_ladri/U232F=~(~(F4*F3)*~(F1*F2))8VOY_INST/registers/xfer_ladri/ladr_bits_reg<12>*VOY_INST/registers/xfer_ladri/U233H=F+G0VOY_INST/registers/xfer_ladri/U236G=~(~(G2*G3)*~(G4*G1))1VOY_INST/registers/xfer_ladri/U239F=~(~(F2*F1)*~(F4*F3))8VOY_INST/registers/xfer_ladri/ladr_bits_reg<13>0VOY_INST/registers/xfer_ladri/U192G=G3+((G2+G1)*G4)1VOY_INST/registers/xfer_ladri/U189F=F4+((F3+F2)*F1)8VOY_INST/registers/xfer_ladri/ladr_bits_reg<2>5VOY_INST/registers/xfer_ladri/ladr_bits_reg<3>0VOY_INST/registers/xfer_ladri/U198G=G3+((G2+G1)*G4)1VOY_INST/registers/xfer_ladri/U195F=F1+((F3+F4)*F2)8VOY_INST/registers/xfer_ladri/ladr_bits_reg<4>5VOY_INST/registers/xfer_ladri/ladr_bits_reg<5>*VOY_INST/registers/xfer_ladri/U240H=F+G0VOY_INST/registers/xfer_ladri/U243G=~(~(G3*G4)*~(G1*G2))1VOY_INST/registers/xfer_ladri/U246F=~(~(F2*F3)*~(F1*F4))8VOY_INST/registers/xfer_ladri/ladr_bits_reg<6>*VOY_INST/registers/xfer_ladri/U247H=F+G0VOY_INST/registers/xfer_ladri/U250G=~(~(G1*G4)*~(G2*G3))1VOY_INST/registers/xfer_ladri/U253F=~(~(F4*F3)*~(F1*F2))8VOY_INST/registers/xfer_ladri/ladr_bits_reg<7>*VOY_INST/registers/xfer_ladri/U254H=F+G0VOY_INST/registers/xfer_ladri/U257G=~(~(G1*G3)*~(G4*G2))1VOY_INST/registers/xfer_ladri/U260F=~(~(F2*F4)*~(F1*F3))8VOY_INST/registers/xfer_ladri/ladr_bits_reg<8>*VOY_INST/registers/xfer_ladri/U261H=F+G0VOY_INST/registers/xfer_ladri/U264G=~(~(G3*G4)*~(G2*G1))1VOY_INST/registers/xfer_ladri/U267F=~(~(F2*F4)*~(F3*F1))8VOY_INST/registers/xfer_ladri/ladr_bits_reg<9>0VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U35G=((G4*G2)*G1)@G31VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U33F=(F2*F1)@F31VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U37F=((F4*F3)*F2)@F10VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U42G=((G2*G1)*G3)@G41VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U40F=(F2*F3)@F10VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U46G=((G3*G4)*G1)@G21VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U44F=(F4*F1)@F20VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U50G=((G4*G3)*G1)@G21VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U48F=(F3*F4)@F10VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U54G=((G2*G1)*G4)@G31VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U52F=(F3*F4)@F2*VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U56H=(F*G)@H11VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U58/VOY_INST/registers/xfer_ladri/ladr_reg/add_69/n35F=(F1*F2)*F4*VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U59/VOY_INST/registers/xfer_ladri/ladr_reg/add_69/n33H=(G*F)*H11VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U60/VOY_INST/registers/xfer_ladri/ladr_reg/add_69/n32F=(F4*F1)*F2*VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U61/VOY_INST/registers/xfer_ladri/ladr_reg/add_69/n30H=(H1*G)*F1VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U62/VOY_INST/registers/xfer_ladri/ladr_reg/add_69/n29F=(F2*F4)*F10VOY_INST/registers/xfer_ladri/U211G=~((~G4+G3)*~(~G4*~(G1*~G2)))1VOY_INST/registers/xfer_ladri/U176F=~((F2+F4)*(~F4+F3))0VOY_INST/registers/xfer_padri/U291/VOY_INST/registers/xfer_padri/enable_llG=(G1+(~G4*G3))+G21VOY_INST/registers/xfer_ladri/U202F=~(~((F3+F4)*~F2)*F1)0VOY_INST/registers/xfer_leni/U284G=~((~G2+G4)*~(~G2*~(G1*~G3)))1VOY_INST/registers/xfer_ladri/U203F=F1*F2*VOY_INST/registers/xfer_ladri/U205H=~(H1*~F)0VOY_INST/registers/xfer_padri/U155G=~(G2)1VOY_INST/registers/xfer_ladri/U180/VOY_INST/registers/xfer_ladri/n279F=~((~F3+F4)+F2)5VOY_INST/registers/xfer_padri/load_mode_reg/$1I130VOY_INST/registers/xfer_leni/U223/VOY_INST/registers/xfer_leni/n530G=(G4*G1)*G3*~G21VOY_INST/registers/xfer_leni/U276/VOY_INST/registers/xfer_leni/n670F=(~(F1@F2)*~F4)*F35VOY_INST/registers/xfer_leni/cnt_nomore_reg/$1I130VOY_INST/registers/xfer_leni/U221G=G2+~((~G4+G1)+~G3)1VOY_INST/registers/xfer_leni/U214F=F4+~((~F2+F3)+~F1)8VOY_INST/registers/xfer_leni/cnt_one_reg/$1I135VOY_INST/registers/xfer_leni/cnt_three_reg/$1I13*VOY_INST/registers/xfer_leni/U288H=~(F@H1)*G0VOY_INST/registers/xfer_leni/U251/VOY_INST/registers/xfer_leni/n512G=~((G3+G2)+G1+~G4)1VOY_INST/registers/xfer_leni/U289F=F4*F25VOY_INST/registers/xfer_leni/cnt_two_reg/$1I130VOY_INST/registers/xfer_leni/U257G=G2+((G4+G1)*G3)1VOY_INST/registers/xfer_leni/U254F=F3+((F4+F1)*F2)8VOY_INST/registers/xfer_leni/len_bits_reg<0>5VOY_INST/registers/xfer_leni/len_bits_reg<1>*VOY_INST/registers/xfer_leni/U290H=F+G0VOY_INST/registers/xfer_leni/U293G=~(~(G4*G1)*~(G3*G2))1VOY_INST/registers/xfer_leni/U296F=~(~(F2*F3)*~(F1*F4))8VOY_INST/registers/xfer_leni/len_bits_reg<10>*VOY_INST/registers/xfer_leni/U297H=F+G0VOY_INST/registers/xfer_leni/U300G=~(~(G4*G1)*~(G2*G3))1VOY_INST/registers/xfer_leni/U303F=~(~(F2*F3)*~(F1*F4))5VOY_INST/registers/xfer_leni/len_bits_reg<11>*VOY_INST/registers/xfer_leni/U304H=F+G0VOY_INST/registers/xfer_leni/U307G=~(~(G1*G3)*~(G2*G4))1VOY_INST/registers/xfer_leni/U310F=~(~(F1*F4)*~(F3*F2))8VOY_INST/registers/xfer_leni/len_bits_reg<12>*VOY_INST/registers/xfer_leni/U311H=F+G0VOY_INST/registers/xfer_leni/U314G=~(~(G4*G1)*~(G2*G3))1VOY_INST/registers/xfer_leni/U317F=~(~(F1*F4)*~(F3*F2))5VOY_INST/registers/xfer_leni/len_bits_reg<13>0VOY_INST/registers/xfer_leni/U263G=G3+((G1+G2)*G4)1VOY_INST/registers/xfer_leni/U260F=F4+((F1+F3)*F2)8VOY_INST/registers/xfer_leni/len_bits_reg<2>5VOY_INST/registers/xfer_leni/len_bits_reg<3>0VOY_INST/registers/xfer_leni/U269G=G4+((G3+G2)*G1)1VOY_INST/registers/xfer_leni/U266F=F3+((F4+F2)*F1)8VOY_INST/registers/xfer_leni/len_bits_reg<4>5VOY_INST/registers/xfer_leni/len_bits_reg<5>*VOY_INST/registers/xfer_leni/U318H=F+G0VOY_INST/registers/xfer_leni/U321G=~(~(G2*G3)*~(G1*G4))1VOY_INST/registers/xfer_leni/U324F=~(~(F1*F3)*~(F2*F4))8VOY_INST/registers/xfer_leni/len_bits_reg<6>*VOY_INST/registers/xfer_leni/U325H=F+G0VOY_INST/registers/xfer_leni/U328G=~(~(G3*G2)*~(G1*G4))1VOY_INST/registers/xfer_leni/U331F=~(~(F3*F4)*~(F2*F1))5VOY_INST/registers/xfer_leni/len_bits_reg<7>*VOY_INST/registers/xfer_leni/U332H=F+G0VOY_INST/registers/xfer_leni/U335G=~(~(G3*G4)*~(G2*G1))1VOY_INST/registers/xfer_leni/U338F=~(~(F3*F4)*~(F2*F1))8VOY_INST/registers/xfer_leni/len_bits_reg<8>*VOY_INST/registers/xfer_leni/U339H=F+G0VOY_INST/registers/xfer_leni/U342G=~(~(G1*G3)*~(G4*G2))1VOY_INST/registers/xfer_leni/U345F=~(~(F4*F3)*~(F1*F2))8VOY_INST/registers/xfer_leni/len_bits_reg<9>0VOY_INST/registers/xfer_leni/len_reg/sub_86/U40G=~(G3@G4)1VOY_INST/registers/xfer_leni/len_reg/sub_86/U30F=~(F4)0VOY_INST/registers/xfer_leni/U286/VOY_INST/registers/xfer_leni/n517G=(G3+G2)+G1+G41VOY_INST/registers/xfer_leni/len_reg/sub_86/U32F=~((F2+F3)+~F4)@F10VOY_INST/registers/xfer_leni/len_reg/sub_86/U41G=~(G4+G1)@G21VOY_INST/registers/xfer_leni/len_reg/sub_86/U34F=~((F4+F2)+F3)@F10VOY_INST/registers/xfer_leni/len_reg/sub_86/U47G=G1@G21VOY_INST/registers/xfer_leni/len_reg/sub_86/U37F=~((F2+F4)+~F1)@F31VOY_INST/registers/xfer_leni/len_reg/sub_86/U39F=F1@F20VOY_INST/registers/xfer_leni/len_reg/sub_86/U45G=~((G1+G2)+~G3)@G41VOY_INST/registers/xfer_leni/len_reg/sub_86/U43F=F3@F2*VOY_INST/registers/xfer_leni/len_reg/sub_86/U49 H=(F*~G)@H11VOY_INST/registers/xfer_leni/len_reg/sub_86/U52/VOY_INST/registers/xfer_leni/len_reg/sub_86/n59 F=~((F4+F1)+F2+~F3)*VOY_INST/registers/xfer_leni/len_reg/sub_86/U54 H=(F*~G)@H11VOY_INST/registers/xfer_leni/len_reg/sub_86/U57/VOY_INST/registers/xfer_leni/len_reg/sub_86/n54 F=~((~F2+F1)+F3)*VOY_INST/registers/xfer_leni/len_reg/sub_86/U59 H=(F*~G)@H11VOY_INST/registers/xfer_leni/len_reg/sub_86/U62/VOY_INST/registers/xfer_leni/len_reg/sub_86/n52 F=~((F3+F4)+F1+~F2)*VOY_INST/registers/xfer_leni/len_reg/sub_86/U64 H=(F*~G)@H11VOY_INST/registers/xfer_leni/len_reg/sub_86/U66/VOY_INST/registers/xfer_leni/len_reg/sub_86/n56 F=~((F1+F2)+F3)1VOY_INST/registers/xfer_leni/U210/VOY_INST/registers/xfer_leni/n532 F=(F3+F4)+F1+F21VOY_INST/registers/xfer_leni/U217/VOY_INST/registers/xfer_leni/n531F=(F1*F3)*~F4*~F20VOY_INST/registers/xfer_leni/U247/VOY_INST/registers/xfer_leni/n519G=(G4*~G2)*G31VOY_INST/registers/xfer_leni/U245F=~(~(F1*~F2)*(F3+F1))*VOY_INST/registers/xfer_leni/U278H=~(H1*~F)1VOY_INST/registers/xfer_leni/U249/VOY_INST/registers/xfer_leni/n514F=~((~F3+F2)+F4)*VOY_INST/registers/xfer_leni/U285/VOY_INST/registers/xfer_leni/n515H=~((F+H1)+G)1VOY_INST/registers/xfer_leni/U209/VOY_INST/registers/xfer_leni/n518F=(F3+F2)+F4+F1(VOY_INST/registers/xfer_padri/count0/carry20VOY_INST/registers/xfer_padri/count0/TCANDUP3G=~G3*(G4@G2)1VOY_INST/registers/xfer_padri/count0/TCANDUP2F=~F3*(F1@F4)(VOY_INST/registers/xfer_padri/count0/carry40VOY_INST/registers/xfer_padri/count0/TCANDUP5G=~G3*(G4@G2)1VOY_INST/registers/xfer_padri/count0/TCANDUP4F=~F3*(F1@F4)(VOY_INST/registers/xfer_padri/count0/carry60VOY_INST/registers/xfer_padri/count0/TCANDUP7G=~G3*(G1@G2)1VOY_INST/registers/xfer_padri/count0/TCANDUP6F=~F3*(F1@F4)BEL_VOY_INST/registers/xfer_padri/count0/ORL0_OUT.POWER(VOY_INST/registers/xfer_padri/count0/carryINIT0VOY_INST/registers/xfer_padri/count0/TCANDUP1G=~G3*(G4@G2)1VOY_INST/registers/xfer_padri/count0/ORL0F=(F4*F2)+((~F3*~F1)*~F2)*VOY_INST/registers/xfer_padri/count0/ORL2H=(F*G)+(H1*~G)0VOY_INST/registers/xfer_padri/U283G=G3*G11VOY_INST/registers/xfer_padri/U201F=~(~(F3*F2)*~(~F3*F4))8VOY_INST/registers/xfer_padri/count0/FLOP35VOY_INST/registers/xfer_padri/count0/FLOP2*VOY_INST/registers/xfer_padri/count0/ORL4H=(F*G)+(H1*~G)0VOY_INST/registers/xfer_padri/U157G=~G1*G41VOY_INST/registers/xfer_padri/U209F=~(~(F1*F2)*~(~F1*F3))8VOY_INST/registers/xfer_padri/count0/FLOP45VOY_INST/registers/xfer_padri/count0/FLOP0*VOY_INST/registers/xfer_padri/count0/ORL1H=(F*G)+(H1*~G)1VOY_INST/registers/xfer_padri/U197F=~(~(F4*F2)*~(~F4*F3))8VOY_INST/registers/xfer_padri/count0/FLOP55VOY_INST/registers/xfer_padri/count0/FLOP10VOY_INST/registers/xfer_padri/count0/ANDL27G=G4*~G31VOY_INST/registers/xfer_padri/count0/ANDL26F=F3*~F15VOY_INST/registers/xfer_padri/count0/FLOP68VOY_INST/registers/xfer_padri/count0/FLOP7*VOY_INST/registers/xfer_padri/count0/tcand/tcand_6H=F*G0VOY_INST/registers/xfer_padri/count0/tcand/tcand_5G=(G2*G1)*~G4*~G31VOY_INST/registers/xfer_padri/count0/tcand/tcand_4F=(F4*F3)*F2*F1(VOY_INST/registers/xfer_padri/count1/carry20VOY_INST/registers/xfer_padri/count1/ORL3G=(G3*G1)+((G4@G2)*~G1)1VOY_INST/registers/xfer_padri/count1/ORL2F=(F3*F2)+((F1@F4)*~F2)8VOY_INST/registers/xfer_padri/count1/FLOP35VOY_INST/registers/xfer_padri/count1/FLOP2(VOY_INST/registers/xfer_padri/count1/carry40VOY_INST/registers/xfer_padri/count1/ORL5G=(G3*G1)+((G4@G2)*~G1)1VOY_INST/registers/xfer_padri/count1/ORL4F=(F3*F2)+((F1@F4)*~F2)8VOY_INST/registers/xfer_padri/count1/FLOP55VOY_INST/registers/xfer_padri/count1/FLOP4(VOY_INST/registers/xfer_padri/count1/carry60VOY_INST/registers/xfer_padri/count1/ORL7G=(G3*G1)+((G4@G2)*~G1)1VOY_INST/registers/xfer_padri/count1/ORL6F=(F3*F2)+((F1@F4)*~F2)8VOY_INST/registers/xfer_padri/count1/FLOP75VOY_INST/registers/xfer_padri/count1/FLOP6(VOY_INST/registers/xfer_padri/count1/carry81VOY_INST/registers/xfer_padri/count1/CBF=F4BEL_VOY_INST/pa<9>.POWER(VOY_INST/registers/xfer_padri/count1/carryINIT0VOY_INST/registers/xfer_padri/count1/ORL1G=(G3*G1)+((G4@G2)*~G1)1VOY_INST/registers/xfer_padri/count1/ORL0F=(F3*F2)+(~F1*~F2)8VOY_INST/registers/xfer_padri/count1/FLOP15VOY_INST/registers/xfer_padri/count1/FLOP0(VOY_INST/registers/xfer_padri/count2/carry2 0VOY_INST/registers/xfer_padri/count2/ORL3 G=(G3*G1)+((G4@G2)*~G1)1VOY_INST/registers/xfer_padri/count2/ORL2 F=(F3*F2)+((F1@F4)*~F2)(VOY_INST/registers/xfer_padri/count2/carry4!0VOY_INST/registers/xfer_padri/count2/ORL5!G=(G3*G1)+((G4@G2)*~G1)1VOY_INST/registers/xfer_padri/count2/ORL4!F=(F3*F2)+((F1@F4)*~F2)(VOY_INST/registers/xfer_padri/count2/carry6"0VOY_INST/registers/xfer_padri/count2/ORL7"G=(G3*G1)+((G4@G2)*~G1)1VOY_INST/registers/xfer_padri/count2/ORL6"F=(F3*F2)+((F1@F4)*~F2)8VOY_INST/registers/xfer_padri/count2/FLOP7"5VOY_INST/registers/xfer_padri/count2/FLOP6"(VOY_INST/registers/xfer_padri/count2/carry8#1VOY_INST/registers/xfer_padri/count2/CB#F=F4BEL_VOY_INST/pa<17>.POWER$(VOY_INST/registers/xfer_padri/count2/carryINIT$0VOY_INST/registers/xfer_padri/count2/ORL1$G=(G3*G1)+((G4@G2)*~G1)1VOY_INST/registers/xfer_padri/count2/ORL0$F=(F3*F2)+(~F1*~F2)8VOY_INST/registers/xfer_padri/count2/FLOP1$5VOY_INST/registers/xfer_padri/count2/FLOP0$*BEL_VOY_INST/registers/n1357.H%H=H10VOY_INST/registers/U724/VOY_INST/registers/n1367%G=(~G4*(G3+G4))*G11VOY_INST/registers/U684/VOY_INST/registers/n1357%F=~((F4+~(F3+F1))+(F1*~F2))5VOY_INST/registers/xfer_padri/count2/FLOP4%8VOY_INST/registers/xfer_padri/count2/FLOP3%*BEL_VOY_INST/registers/n1360.H&H=H10VOY_INST/registers/U720/VOY_INST/registers/n1368&G=(~G1*(G4+G1))*G21VOY_INST/registers/U688/VOY_INST/registers/n1360&F=(~F1*(F3+F1))*F25VOY_INST/registers/xfer_padri/count2/FLOP5&8VOY_INST/registers/xfer_padri/count2/FLOP2&(VOY_INST/registers/xfer_padri/count3/carry2'0VOY_INST/registers/xfer_padri/count3/ORL3'G=(G3*G1)+((G4@G2)*~G1)1VOY_INST/registers/xfer_padri/count3/ORL2'F=(F3*F2)+((F1@F4)*~F2)8VOY_INST/registers/xfer_padri/count3/FLOP3'5VOY_INST/registers/xfer_padri/count3/FLOP2'(VOY_INST/registers/xfer_padri/count3/carry4(0VOY_INST/registers/xfer_padri/count3/ORL5(G=(G3*G1)+((G4@G2)*~G1)1VOY_INST/registers/xfer_padri/count3/ORL4(F=(F3*F2)+((F1@F4)*~F2)8VOY_INST/registers/xfer_padri/count3/FLOP5(5VOY_INST/registers/xfer_padri/count3/FLOP4((VOY_INST/registers/xfer_padri/count3/carry6)0VOY_INST/registers/xfer_padri/count3/ORL7)G=(G4*G1)+((G3@G2)*~G1)1VOY_INST/registers/xfer_padri/count3/ORL6)F=(F3*F2)+((F1@F4)*~F2)8VOY_INST/registers/xfer_padri/count3/FLOP7)5VOY_INST/registers/xfer_padri/count3/FLOP6)BEL_VOY_INST/pa<25>.POWER*(VOY_INST/registers/xfer_padri/count3/carryINIT*0VOY_INST/registers/xfer_padri/count3/ORL1*G=(G3*G1)+((G4@G2)*~G1)1VOY_INST/registers/xfer_padri/count3/ORL0*F=(F3*F2)+(~F1*~F2)8VOY_INST/registers/xfer_padri/count3/FLOP1*5VOY_INST/registers/xfer_padri/count3/FLOP0**VOY_INST/registers/xfer_padri/U278+H=F+G0VOY_INST/registers/xfer_padri/U282+G=~(~(G1*G2)*~(~G4*G3))1VOY_INST/registers/xfer_padri/U297+F=F1*F3*VOY_INST/registers/xfer_padri/U284,H=H1+F1VOY_INST/registers/xfer_padri/U288,F=~(~(F3*F1)*~(~F4*F2))*VOY_INST/registers/xfer_padri/U292-H=H1+F0VOY_INST/registers/xfer_ladri/U178/VOY_INST/registers/xfer_ladri/n282-G=(G4*~G1)*G21VOY_INST/registers/xfer_padri/U296-F=~(~(F4*F1)*~(~F2*F3))1VOY_INST/resolve/U143.F=F45VOY_INST/resolve/reg_sready_reg/$1I13.0VOY_INST/transfer/U1359/VOY_INST/transfer/n3105/G=(G3*G4)*~G2*~G11VOY_INST/transfer/U1417/F=~(F4*~F3)8VOY_INST/transfer/c_hold_reg/5VOY_INST/transfer/m_data_d2_reg/$1I13/0VOY_INST/transfer/U1310/VOY_INST/transfer/n30750G=~((~G3+G1)+G4)1VOY_INST/transfer/U13740F=F3+~((~F4+F2)+~F1)5VOY_INST/transfer/internal_done_reg/$1I130*VOY_INST/transfer/U12841H=~H1*F0VOY_INST/transfer/U13471G=G4+((G3*~G2)*~G1)1VOY_INST/transfer/U12871F=~(~(F1*F4)*~(F3*F2))5VOY_INST/transfer/internal_error_reg/$1I131*VOY_INST/transfer/U14652H=~(~G*~(F*H1))1VOY_INST/transfer/U14662F=~(F2+F1)5VOY_INST/transfer/internal_request_reg2'BEL_n1450.RAMBB30VOY_INST/transfer/irf_fifo/bit103G=0x00001VOY_INST/transfer/irf_fifo/bit113F=0x00008VOY_INST/transfer/irf_dout_reg_reg<11>/$1I1335VOY_INST/transfer/irf_dout_reg_reg<10>/$1I133'BEL_n1448.RAMBB40VOY_INST/transfer/irf_fifo/bit124G=0x00001VOY_INST/transfer/irf_fifo/bit134F=0x00008VOY_INST/transfer/irf_dout_reg_reg<13>/$1I1345VOY_INST/transfer/irf_dout_reg_reg<12>/$1I134'BEL_n1446.RAMBB50VOY_INST/transfer/irf_fifo/bit145G=0x00001VOY_INST/transfer/irf_fifo/bit155F=0x00005VOY_INST/transfer/irf_dout_reg_reg<15>/$1I1358VOY_INST/transfer/irf_dout_reg_reg<14>/$1I135'BEL_n1444.RAMBB60VOY_INST/transfer/irf_fifo/bit166G=0x00001VOY_INST/transfer/irf_fifo/bit176F=0x00008VOY_INST/transfer/irf_dout_reg_reg<17>/$1I1365VOY_INST/transfer/irf_dout_reg_reg<16>/$1I136'BEL_n1442.RAMBB70VOY_INST/transfer/irf_fifo/bit187G=0x00001VOY_INST/transfer/irf_fifo/bit197F=0x00005VOY_INST/transfer/irf_dout_reg_reg<19>/$1I1378VOY_INST/transfer/irf_dout_reg_reg<18>/$1I137'BEL_n1460.RAMBB80VOY_INST/transfer/irf_fifo/bit008G=0x00001VOY_INST/transfer/irf_fifo/bit018F=0x00005VOY_INST/transfer/irf_dout_reg_reg<1>/$1I1388VOY_INST/transfer/irf_dout_reg_reg<0>/$1I138'BEL_n1440.RAMBB90VOY_INST/transfer/irf_fifo/bit209G=0x00001VOY_INST/transfer/irf_fifo/bit219F=0x00008VOY_INST/transfer/irf_dout_reg_reg<21>/$1I1395VOY_INST/transfer/irf_dout_reg_reg<20>/$1I139'BEL_n1438.RAMBB:0VOY_INST/transfer/irf_fifo/bit22:G=0x00001VOY_INST/transfer/irf_fifo/bit23:F=0x00005VOY_INST/transfer/irf_dout_reg_reg<23>/$1I13:8VOY_INST/transfer/irf_dout_reg_reg<22>/$1I13:'BEL_n1436.RAMBB;0VOY_INST/transfer/irf_fifo/bit24;G=0x00001VOY_INST/transfer/irf_fifo/bit25;F=0x00005VOY_INST/transfer/irf_dout_reg_reg<25>/$1I13;8VOY_INST/transfer/irf_dout_reg_reg<24>/$1I13;'BEL_n1434.RAMBB<0VOY_INST/transfer/irf_fifo/bit26<G=0x00001VOY_INST/transfer/irf_fifo/bit27<F=0x00005VOY_INST/transfer/irf_dout_reg_reg<27>/$1I13<8VOY_INST/transfer/irf_dout_reg_reg<26>/$1I13<'BEL_n1432.RAMBB=0VOY_INST/transfer/irf_fifo/bit28=G=0x00001VOY_INST/transfer/irf_fifo/bit29=F=0x00005VOY_INST/transfer/irf_dout_reg_reg<29>/$1I13=8VOY_INST/transfer/irf_dout_reg_reg<28>/$1I13='BEL_n1430.RAMBB>0VOY_INST/transfer/irf_fifo/bit30>G=0x00001VOY_INST/transfer/irf_fifo/bit31>F=0x00005VOY_INST/transfer/irf_dout_reg_reg<31>/$1I13>8VOY_INST/transfer/irf_dout_reg_reg<30>/$1I13>'BEL_n1428.RAMBB?0VOY_INST/transfer/irf_fifo/bit32?G=0x00001VOY_INST/transfer/irf_fifo/bit33?F=0x00008VOY_INST/transfer/irf_dout_reg_reg<33>/$1I13?5VOY_INST/transfer/irf_dout_reg_reg<32>/$1I13?'BEL_n1426.RAMBB@0VOY_INST/transfer/irf_fifo/bit34@G=0x00001VOY_INST/transfer/irf_fifo/bit35@F=0x00008VOY_INST/transfer/irf_dout_reg_reg<35>/$1I13@5VOY_INST/transfer/irf_dout_reg_reg<34>/$1I13@'BEL_n1458.RAMBBA0VOY_INST/transfer/irf_fifo/bit02AG=0x00001VOY_INST/transfer/irf_fifo/bit03AF=0x00005VOY_INST/transfer/irf_dout_reg_reg<3>/$1I13A8VOY_INST/transfer/irf_dout_reg_reg<2>/$1I13A'BEL_n1456.RAMBBB0VOY_INST/transfer/irf_fifo/bit04BG=0x00001VOY_INST/transfer/irf_fifo/bit05BF=0x00005VOY_INST/transfer/irf_dout_reg_reg<5>/$1I13B8VOY_INST/transfer/irf_dout_reg_reg<4>/$1I13B'BEL_n1454.RAMBBC0VOY_INST/transfer/irf_fifo/bit06CG=0x00001VOY_INST/transfer/irf_fifo/bit07CF=0x00005VOY_INST/transfer/irf_dout_reg_reg<7>/$1I13C8VOY_INST/transfer/irf_dout_reg_reg<6>/$1I13C'BEL_n1452.RAMBBD0VOY_INST/transfer/irf_fifo/bit08DG=0x00001VOY_INST/transfer/irf_fifo/bit09DF=0x00005VOY_INST/transfer/irf_dout_reg_reg<9>/$1I13D8VOY_INST/transfer/irf_dout_reg_reg<8>/$1I13D*VOY_INST/transfer/irf_fifo/U543/VOY_INST/transfer/irf_fifo/n950EH=(H1+G)+F0VOY_INST/transfer/irf_fifo/U547EG=~((~G1*G3)+G4)1VOY_INST/transfer/irf_fifo/U550EF=~(F1+F3)8VOY_INST/transfer/irf_fifo/almost_empty_regE*VOY_INST/transfer/irf_fifo/U485FH=~(F+H1)0VOY_INST/transfer/irf_fifo/U407FG=G1+((G2*~G3)*~G4)1VOY_INST/transfer/irf_fifo/U492FF=F1@~((~(~F3*F4)*(F2+~F3))*(F4+~F2))5VOY_INST/transfer/irf_fifo/ar_reg<3>F8VOY_INST/transfer/irf_fifo/ar_reg<2>F*VOY_INST/transfer/irf_fifo/U498GH=~(F+H1)0VOY_INST/transfer/irf_fifo/U413GG=G3+((G2*~G1)*~G4)1VOY_INST/transfer/irf_fifo/U505GF=F1@~((~(~F2*F3)*(F4+~F2))*(F3+~F4))5VOY_INST/transfer/irf_fifo/aw_reg<3>G8VOY_INST/transfer/irf_fifo/aw_reg<2>G0VOY_INST/transfer/irf_fifo/U419HG=G3+((G1*~G2)*~G4)1VOY_INST/transfer/irf_fifo/U376HF=((F2@F1)@F4)*~F35VOY_INST/transfer/irf_fifo/status_reg_reg<1>H8VOY_INST/transfer/irf_fifo/status_reg_reg<2>H*VOY_INST/transfer/irf_fifo/U509IH=~(F+H1)0VOY_INST/transfer/irf_fifo/U384IG=~(G3+G4)1VOY_INST/transfer/irf_fifo/U515IF=F1@~((~(~F3*F4)*~(F3*F2))*(F4+F2))5VOY_INST/transfer/irf_fifo/status_reg_reg<3>I8VOY_INST/transfer/irf_fifo/status_reg_reg<0>I*VOY_INST/transfer/irf_fifo/U477JH=F+~(G+~H1)0VOY_INST/transfer/irf_fifo/U479/VOY_INST/transfer/irf_fifo/n886JG=(G4+G1)+G2+~G31VOY_INST/transfer/U1296/VOY_INST/transfer/r_idlJF=~((F2+F4)+F3)8VOY_INST/transfer/irf_fifo/totally_empty_regJ0VOY_INST/transfer/irf_fifo/U320KG=~(~G3*(G4+G1))1VOY_INST/transfer/irf_fifo/U517/VOY_INST/transfer/irf_fifo/n919KF=(F2*F3)*F1*~F48VOY_INST/transfer/irf_fifo/totally_full_regK0VOY_INST/transfer/irf_fifo/U357/VOY_INST/transfer/irf_fifo/n145<0>LG=G2+G4+~G11VOY_INST/transfer/irf_fifo/U323LF=~(F4)1VOY_INST/transfer/irf_fifo/U337MF=~((F2*F4)+F3)0VOY_INST/transfer/irf_fifo/U369NG=~(~(G4*G2)*~(~G4*G1))1VOY_INST/transfer/irf_fifo/U345NF=~((F4+F2)*~((F4+~F1)*F2))0VOY_INST/transfer/irf_fifo/U388OG=~((G4+~(G1+G2))*~(G2*G1))1VOY_INST/transfer/irf_fifo/U354OF=~((F1+F4)*~((F1+~F3)*F4))0VOY_INST/transfer/U1320PG=~(~G2*(G3+G4))*G11VOY_INST/transfer/irf_fifo/U361PF=~(~(~F2*F1)*~(F2*F3))0VOY_INST/transfer/irf_fifo/U409/VOY_INST/transfer/irf_fifo/n727QG=~((~G3+G1)+G4)1VOY_INST/transfer/irf_fifo/U365QF=~(~(F4*F1)*~(~F4*F3))1VOY_INST/transfer/irf_fifo/U373RF=~(~(F1*F2)*~(~F1*F3))0VOY_INST/transfer/irf_fifo/U390/VOY_INST/transfer/irf_fifo/n706SG=(G4*~G3)*G11VOY_INST/transfer/irf_fifo/U383/VOY_INST/transfer/irf_fifo/n740SF=~((~F1+F4)+F3)1VOY_INST/transfer/irf_fifo/U395TF=~(F3*F2)0VOY_INST/transfer/irf_fifo/U508UG=~(~G3*(G4+G2))1VOY_INST/transfer/irf_fifo/U415/VOY_INST/transfer/irf_fifo/n721UF=~((~F2+F3)+F1)*VOY_INST/transfer/irf_fifo/U424VH=~H1*F0VOY_INST/transfer/irf_fifo/U381VG=~(~(G4*G3)*(G3+(G4*G2)))1VOY_INST/transfer/irf_fifo/U430VF=~F3*~F2*VOY_INST/transfer/irf_fifo/U436WH=~(~F+G)0VOY_INST/transfer/irf_fifo/U433WG=~(G1)1VOY_INST/transfer/irf_fifo/U441WF=F2*VOY_INST/transfer/irf_fifo/U446XH=F*H10VOY_INST/transfer/irf_fifo/U329XG=~G3*G41VOY_INST/transfer/irf_fifo/U452XF=F3*VOY_INST/transfer/irf_fifo/U457YH=~(~((~F+H1)*G)*~(~F*H1))1VOY_INST/transfer/irf_fifo/U459/VOY_INST/transfer/irf_fifo/n716YF=~(F3+F1+~F4)*VOY_INST/transfer/irf_fifo/U464ZH=~(~((~F+H1)*G)*~(~F*H1))1VOY_INST/transfer/irf_fifo/U466/VOY_INST/transfer/irf_fifo/ram_weZF=~(F3+F4+~F2)*VOY_INST/transfer/irf_fifo/U467/VOY_INST/transfer/irf_fifo/n518<0>[H=H1+G0VOY_INST/transfer/irf_fifo/U349[G=~((G4@G3)@G2)*~G11VOY_INST/transfer/irf_fifo/U355[F=~(F4+F2)5VOY_INST/transfer/irf_fifo/aw_reg<0>[8VOY_INST/transfer/irf_fifo/aw_reg<1>[*VOY_INST/transfer/irf_fifo/U471/VOY_INST/transfer/irf_fifo/n583<0>\H=H1+G0VOY_INST/transfer/irf_fifo/U340\G=~((G1@G3)@G4)*~G21VOY_INST/transfer/irf_fifo/U346\F=~(F4+F1)5VOY_INST/transfer/irf_fifo/ar_reg<0>\8VOY_INST/transfer/irf_fifo/ar_reg<1>\*VOY_INST/transfer/irf_fifo/U482]H=~(~G*(F+H1))1VOY_INST/transfer/irf_fifo/U484/VOY_INST/transfer/irf_fifo/n708]F=~(~F1+F4)*VOY_INST/transfer/irf_fifo/U520^H=(F+H1)*~G0VOY_INST/transfer/irf_fifo/U523/VOY_INST/transfer/irf_fifo/n928^G=(~(G3*G1)*~G2)*G41VOY_INST/transfer/irf_fifo/U525/VOY_INST/transfer/irf_fifo/n927^F=~((~F3+F4)+F1)*VOY_INST/transfer/irf_fifo/U528_H=(F+H1)*~G0VOY_INST/transfer/irf_fifo/U531/VOY_INST/transfer/irf_fifo/n935_G=(~(G3*G1)*~G4)*G21VOY_INST/transfer/irf_fifo/U533/VOY_INST/transfer/irf_fifo/n934_F=~((~F3+F2)+F4)*VOY_INST/transfer/irf_fifo/U536`H=(F+H1)*~G0VOY_INST/transfer/irf_fifo/U540/VOY_INST/transfer/irf_fifo/n942`G=(~(~G3*G4)*~G2)*G11VOY_INST/transfer/irf_fifo/U542/VOY_INST/transfer/irf_fifo/n713`F=(F1*~F2)*F3*BEL_VOY_INST/transfer/iwf_din_dly<0>.HaH=H15VOY_INST/transfer/iwf_din_reg_reg<0>/$1I13a8VOY_INST/transfer/iwf_din_reg_reg<1>/$1I13a*BEL_VOY_INST/transfer/iwf_din_dly<10>.HbH=H15VOY_INST/transfer/iwf_din_reg_reg<10>/$1I13b8VOY_INST/transfer/iwf_din_reg_reg<11>/$1I13b*BEL_VOY_INST/transfer/iwf_din_dly<12>.HcH=H18VOY_INST/transfer/iwf_din_reg_reg<12>/$1I13c5VOY_INST/transfer/iwf_din_reg_reg<13>/$1I13c*BEL_VOY_INST/transfer/iwf_din_dly<14>.HdH=H18VOY_INST/transfer/iwf_din_reg_reg<14>/$1I13d5VOY_INST/transfer/iwf_din_reg_reg<15>/$1I13d*BEL_VOY_INST/transfer/iwf_din_dly<16>.HeH=H18VOY_INST/transfer/iwf_din_reg_reg<16>/$1I13e5VOY_INST/transfer/iwf_din_reg_reg<17>/$1I13e*BEL_VOY_INST/transfer/iwf_din_dly<18>.HfH=H18VOY_INST/transfer/iwf_din_reg_reg<18>/$1I13f5VOY_INST/transfer/iwf_din_reg_reg<19>/$1I13f*BEL_VOY_INST/transfer/iwf_din_dly<20>.HgH=H18VOY_INST/transfer/iwf_din_reg_reg<20>/$1I13g5VOY_INST/transfer/iwf_din_reg_reg<21>/$1I13g*BEL_VOY_INST/transfer/iwf_din_dly<22>.HhH=H15VOY_INST/transfer/iwf_din_reg_reg<22>/$1I13h8VOY_INST/transfer/iwf_din_reg_reg<23>/$1I13h*BEL_VOY_INST/transfer/iwf_din_dly<24>.HiH=H18VOY_INST/transfer/iwf_din_reg_reg<24>/$1I13i5VOY_INST/transfer/iwf_din_reg_reg<25>/$1I13i*BEL_VOY_INST/transfer/iwf_din_dly<26>.HjH=H15VOY_INST/transfer/iwf_din_reg_reg<26>/$1I13j8VOY_INST/transfer/iwf_din_reg_reg<27>/$1I13j*BEL_VOY_INST/transfer/iwf_din_dly<28>.HkH=H15VOY_INST/transfer/iwf_din_reg_reg<28>/$1I13k8VOY_INST/transfer/iwf_din_reg_reg<29>/$1I13k*BEL_VOY_INST/transfer/iwf_din_dly<2>.HlH=H15VOY_INST/transfer/iwf_din_reg_reg<2>/$1I13l8VOY_INST/transfer/iwf_din_reg_reg<3>/$1I13l*BEL_VOY_INST/transfer/iwf_din_dly<30>.HmH=H15VOY_INST/transfer/iwf_din_reg_reg<30>/$1I13m8VOY_INST/transfer/iwf_din_reg_reg<31>/$1I13m*BEL_VOY_INST/transfer/iwf_din_dly<4>.HnH=H15VOY_INST/transfer/iwf_din_reg_reg<4>/$1I13n8VOY_INST/transfer/iwf_din_reg_reg<5>/$1I13n*BEL_VOY_INST/transfer/iwf_din_dly<6>.HoH=H18VOY_INST/transfer/iwf_din_reg_reg<6>/$1I13o5VOY_INST/transfer/iwf_din_reg_reg<7>/$1I13o*BEL_VOY_INST/transfer/iwf_din_dly<8>.HpH=H15VOY_INST/transfer/iwf_din_reg_reg<8>/$1I13p8VOY_INST/transfer/iwf_din_reg_reg<9>/$1I13p*VOY_INST/transfer/iwf_fifo/U537/VOY_INST/transfer/iwf_fifo/n1135qH=(H1+G)+F0VOY_INST/transfer/iwf_fifo/U541qG=~((G2*~G4)+G1)1VOY_INST/transfer/iwf_fifo/U544qF=~(F1+F4)8VOY_INST/transfer/iwf_fifo/almost_empty_regq0VOY_INST/transfer/iwf_fifo/U393rG=~((G4+~G3)+G1)1VOY_INST/transfer/iwf_fifo/U389rF=F4+((F1*~F2)*~F3)8VOY_INST/transfer/iwf_fifo/almost_full_regr0VOY_INST/transfer/iwf_fifo/U333sG=~((G3@G2)@G1)*~G41VOY_INST/transfer/iwf_fifo/U339sF=~(F4+F3)8VOY_INST/transfer/iwf_fifo/ar_reg<0>s5VOY_INST/transfer/iwf_fifo/ar_reg<1>s*VOY_INST/transfer/iwf_fifo/U479tH=~(F+H1)0VOY_INST/transfer/iwf_fifo/U397tG=G4+((G1*~G2)*~G3)1VOY_INST/transfer/iwf_fifo/U486tF=F1@~((~(~F4*F3)*(F2+~F4))*(F3+~F2))5VOY_INST/transfer/iwf_fifo/ar_reg<3>t8VOY_INST/transfer/iwf_fifo/ar_reg<2>t0VOY_INST/transfer/iwf_fifo/U342uG=~((G1@G4)@G3)*~G21VOY_INST/transfer/iwf_fifo/U348uF=~(F4+F1)5VOY_INST/transfer/iwf_fifo/aw_reg<0>u8VOY_INST/transfer/iwf_fifo/aw_reg<1>u*VOY_INST/transfer/iwf_fifo/U492vH=~(F+H1)0VOY_INST/transfer/iwf_fifo/U403vG=G1+((G3*~G2)*~G4)1VOY_INST/transfer/iwf_fifo/U499vF=F1@~((~(~F3*F2)*(F4+~F3))*(F2+~F4))5VOY_INST/transfer/iwf_fifo/aw_reg<3>v8VOY_INST/transfer/iwf_fifo/aw_reg<2>v'BEL_VOY_INST/transfer/iwf_dout<1>.RAMBBw0VOY_INST/transfer/iwf_fifo/bit00wG=0x00001VOY_INST/transfer/iwf_fifo/bit01wF=0x0000'BEL_VOY_INST/transfer/iwf_dout<5>.RAMBBx0VOY_INST/transfer/iwf_fifo/bit04xG=0x00001VOY_INST/transfer/iwf_fifo/bit05xF=0x0000'BEL_VOY_INST/transfer/iwf_dout<6>.RAMBBy0VOY_INST/transfer/iwf_fifo/bit02yG=0x00001VOY_INST/transfer/iwf_fifo/bit06yF=0x0000'BEL_VOY_INST/transfer/iwf_dout<7>.RAMBBz0VOY_INST/transfer/iwf_fifo/bit03zG=0x00001VOY_INST/transfer/iwf_fifo/bit07zF=0x0000'BEL_VOY_INST/transfer/iwf_dout<9>.RAMBB{0VOY_INST/transfer/iwf_fifo/bit08{G=0x00001VOY_INST/transfer/iwf_fifo/bit09{F=0x0000'BEL_VOY_INST/transfer/iwf_dout<11>.RAMBB|0VOY_INST/transfer/iwf_fifo/bit10|G=0x00001VOY_INST/transfer/iwf_fifo/bit11|F=0x0000'BEL_VOY_INST/transfer/iwf_dout<13>.RAMBB}0VOY_INST/transfer/iwf_fifo/bit12}G=0x00001VOY_INST/transfer/iwf_fifo/bit13}F=0x0000'BEL_VOY_INST/transfer/iwf_dout<15>.RAMBB~0VOY_INST/transfer/iwf_fifo/bit14~G=0x00001VOY_INST/transfer/iwf_fifo/bit15~F=0x0000'BEL_VOY_INST/transfer/iwf_dout<17>.RAMBB0VOY_INST/transfer/iwf_fifo/bit16G=0x00001VOY_INST/transfer/iwf_fifo/bit17F=0x0000'BEL_VOY_INST/transfer/iwf_dout<20>.RAMBB0VOY_INST/transfer/iwf_fifo/bit19G=0x00001VOY_INST/transfer/iwf_fifo/bit20F=0x0000'BEL_VOY_INST/transfer/iwf_dout<21>.RAMBB0VOY_INST/transfer/iwf_fifo/bit18G=0x00001VOY_INST/transfer/iwf_fifo/bit21F=0x0000'BEL_VOY_INST/transfer/iwf_dout<23>.RAMBB0VOY_INST/transfer/iwf_fifo/bit22G=0x00001VOY_INST/transfer/iwf_fifo/bit23F=0x0000'BEL_VOY_INST/transfer/iwf_dout<25>.RAMBB0VOY_INST/transfer/iwf_fifo/bit24G=0x00001VOY_INST/transfer/iwf_fifo/bit25F=0x0000'BEL_VOY_INST/transfer/iwf_dout<27>.RAMBB0VOY_INST/transfer/iwf_fifo/bit26G=0x00001VOY_INST/transfer/iwf_fifo/bit27F=0x0000'BEL_VOY_INST/transfer/iwf_dout<29>.RAMBB0VOY_INST/transfer/iwf_fifo/bit28G=0x00001VOY_INST/transfer/iwf_fifo/bit29F=0x0000'BEL_VOY_INST/transfer/iwf_dout<31>.RAMBB0VOY_INST/transfer/iwf_fifo/bit30G=0x00001VOY_INST/transfer/iwf_fifo/bit31F=0x00000VOY_INST/transfer/iwf_fifo/U417/VOY_INST/transfer/iwf_fifo/n795G=(G4*~G2)*G11VOY_INST/transfer/iwf_fifo/U370F=~(F2+F1)5VOY_INST/transfer/iwf_fifo/status_reg_reg<0>*VOY_INST/transfer/iwf_fifo/U468H=~(~H1*(G+F))0VOY_INST/transfer/iwf_fifo/U473/VOY_INST/transfer/iwf_fifo/n1071G=~(((G3+(~G4*G1))*~(~G4*G3))*G2)1VOY_INST/transfer/U1294/VOY_INST/transfer/w_idlF=~((F2+F1)+F3)5VOY_INST/transfer/iwf_fifo/status_reg_reg<2>*VOY_INST/transfer/iwf_fifo/U503H=~(F+H1)0VOY_INST/transfer/iwf_fifo/U369G=((G2@G4)@G1)*~G31VOY_INST/transfer/iwf_fifo/U509F=F2@~((~(~F3*F4)*~(F3*F1))*(F4+F1))5VOY_INST/transfer/iwf_fifo/status_reg_reg<3>8VOY_INST/transfer/iwf_fifo/status_reg_reg<1>*VOY_INST/transfer/iwf_fifo/U547H=H1+~(G+~F)0VOY_INST/transfer/iwf_fifo/U549/VOY_INST/transfer/iwf_fifo/n1139G=(G2+G1)+G4+~G31VOY_INST/transfer/iwf_fifo/U550F=~(F2+F3)8VOY_INST/transfer/iwf_fifo/totally_empty_reg0VOY_INST/transfer/iwf_fifo/U350/VOY_INST/transfer/iwf_fifo/n145<0>G=(G3+G2)+G4+~G11VOY_INST/transfer/iwf_fifo/U319F=~(~F2*(F1+F4))1VOY_INST/transfer/iwf_fifo/U322F=~(F1)1VOY_INST/transfer/iwf_fifo/U330F=~(F3)0VOY_INST/transfer/iwf_fifo/U362G=~(~(G2*G4)*~(~G2*G1))1VOY_INST/transfer/iwf_fifo/U338F=~((F1+F2)*~((F1+~F4)*F2))0VOY_INST/transfer/iwf_fifo/U410G=~(~((~G2+G1)*G4)*~(~G2*G1))1VOY_INST/transfer/iwf_fifo/U347F=~((F1+F2)*~((F1+~F4)*F2))0VOY_INST/transfer/iwf_fifo/U366G=~(~(G2*G3)*~(~G2*G4))1VOY_INST/transfer/iwf_fifo/U354F=~(~(~F2*F3)*~(F2*F4))0VOY_INST/transfer/iwf_fifo/U399/VOY_INST/transfer/iwf_fifo/n819G=~((~G4+G1)+G3)1VOY_INST/transfer/iwf_fifo/U358F=~(~(F2*F3)*~(~F2*F4))0VOY_INST/transfer/iwf_fifo/U415/VOY_INST/transfer/iwf_fifo/n804G=~((~G3+G4)+G1)1VOY_INST/transfer/iwf_fifo/U374F=~(((F1+F2)+~(F4+F3))*~(F3*F4))0VOY_INST/transfer/iwf_fifo/U491G=G21VOY_INST/transfer/iwf_fifo/U385F=~(F1*F2)0VOY_INST/transfer/iwf_fifo/U502G=~(~G1*(G4+G2))1VOY_INST/transfer/iwf_fifo/U405/VOY_INST/transfer/iwf_fifo/n813F=~((~F3+F1)+F2)*VOY_INST/transfer/iwf_fifo/U424H=~(~F+H1)0VOY_INST/transfer/iwf_fifo/U511/VOY_INST/transfer/iwf_fifo/n1104G=(G1*G4)*G2*~G31VOY_INST/transfer/iwf_fifo/U429F=F4*F25VOY_INST/transfer/iwf_fifo/totally_full_reg*VOY_INST/transfer/iwf_fifo/U434H=~H1*F0VOY_INST/transfer/iwf_fifo/U380G=~G2*G41VOY_INST/transfer/iwf_fifo/U440F=~F3*~F1*VOY_INST/transfer/iwf_fifo/U456H=~(~((~F+H1)*G)*~(~F*H1))1VOY_INST/transfer/iwf_fifo/U458/VOY_INST/transfer/iwf_fifo/n806F=~((F3+F2)+F1+~F4)*VOY_INST/transfer/iwf_fifo/U459/VOY_INST/transfer/iwf_fifo/n518<0>H=(G+F)+H11VOY_INST/transfer/iwf_fifo/U413/VOY_INST/transfer/iwf_fifo/n807F=(F3*F2)*~F1*~F40VOY_INST/transfer/U1360G=G2+G11VOY_INST/transfer/iwf_fifo/U461/VOY_INST/transfer/iwf_fifo/ram_weF=~((F4+F1)+F2+~F3)*VOY_INST/transfer/iwf_fifo/U462/VOY_INST/transfer/iwf_fifo/n583<0>H=(F+G)+H11VOY_INST/transfer/iwf_fifo/U465/VOY_INST/transfer/iwf_fifo/n794F=(F1*F2)*~F4*~F3*VOY_INST/transfer/iwf_fifo/U476H=~(~G*(F+H1))1VOY_INST/transfer/iwf_fifo/U478/VOY_INST/transfer/iwf_fifo/n797F=~((~F1+F3)+F2)*VOY_INST/transfer/iwf_fifo/U514H=(F+H1)*~G0VOY_INST/transfer/iwf_fifo/U517/VOY_INST/transfer/iwf_fifo/n1113G=(~(G4*G1)*~G2)*G31VOY_INST/transfer/iwf_fifo/U519/VOY_INST/transfer/iwf_fifo/n1112F=~((~F4+F3)+F2)*VOY_INST/transfer/iwf_fifo/U522H=(F+H1)*~G0VOY_INST/transfer/iwf_fifo/U525/VOY_INST/transfer/iwf_fifo/n1120G=(~(G3*G1)*~G4)*G21VOY_INST/transfer/iwf_fifo/U527/VOY_INST/transfer/iwf_fifo/n1119F=~((~F3+F4)+F2)*VOY_INST/transfer/iwf_fifo/U530H=(F+H1)*~G0VOY_INST/transfer/iwf_fifo/U534/VOY_INST/transfer/iwf_fifo/n1127G=(~(G4*~G3)*~G2)*G11VOY_INST/transfer/iwf_fifo/U536/VOY_INST/transfer/iwf_fifo/n802F=(F1*~F4)*F3*BEL_VOY_INST/registers/n1354.HH=H10VOY_INST/registers/U749G=~(((~G4+~G3)+G2)*~((G1*~G4)*G2))1VOY_INST/registers/U663/VOY_INST/registers/n1354F=~((F2+~(F1+F4))+(F4*~F3))5VOY_INST/transfer/laddr_reg_reg<11>8VOY_INST/transfer/laddr_reg_reg<10>*BEL_VOY_INST/registers/n1356.HH=H10VOY_INST/registers/U739G=~(((~G3+~G2)+G1)*~((G4*~G3)*G1))1VOY_INST/registers/U672/VOY_INST/registers/n1356F=((~F1+F2)*(F4+F1))*F35VOY_INST/transfer/laddr_reg_reg<13>8VOY_INST/transfer/laddr_reg_reg<12>*BEL_VOY_INST/registers/n1358.HH=H10VOY_INST/registers/U729G=~(((~G1+~G3)+G4)*~((G2*~G1)*G4))1VOY_INST/registers/U680/VOY_INST/registers/n1358F=~((F4+~(F3+F1))+(F1*~F2))8VOY_INST/transfer/laddr_reg_reg<15>5VOY_INST/transfer/laddr_reg_reg<14>*BEL_VOY_INST/registers/xfer_ladri/ladr_bits129<0>.HH=H10VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U39G=G1@G21VOY_INST/registers/xfer_ladri/ladr_reg/add_69/U32F=~(F2)5VOY_INST/transfer/laddr_reg_reg<3>8VOY_INST/transfer/laddr_reg_reg<2>*BEL_VOY_INST/registers/n1352.HH=H10VOY_INST/registers/U786G=G2+((G4*~G3)*~G1)1VOY_INST/registers/U654F=~(((~F1+~F2)+F3)*~((F4*~F1)*F3))5VOY_INST/transfer/laddr_reg_reg<5>8VOY_INST/transfer/laddr_reg_reg<4>*BEL_VOY_INST/registers/n1350.HH=H10VOY_INST/registers/U813G=G4+((G3*~G2)*~G1)1VOY_INST/registers/U649F=~(((~F1+~F4)+F2)*~((F3*~F1)*F2))5VOY_INST/transfer/laddr_reg_reg<7>8VOY_INST/transfer/laddr_reg_reg<6>*BEL_VOY_INST/registers/n1348.HH=H10VOY_INST/registers/U826G=G3+~((~G1+G2)+~G4)1VOY_INST/registers/U643F=~(((~F2+~F3)+F4)*~((F1*~F2)*F4))5VOY_INST/transfer/laddr_reg_reg<9>8VOY_INST/transfer/laddr_reg_reg<8>1PCI_CORE/PCI_LC/$6I508F=F35VOY_INST/transfer/m_data_d1_reg/$1I130VOY_INST/transfer/U1328G=~(~((G2*~G3)*G1)*G4)1VOY_INST/transfer/U1370/VOY_INST/transfer/n3091F=(F3*F2)*F4*~F18VOY_INST/transfer/nodata_state_reg*VOY_INST/transfer/U1457H=(F+G)*~H10VOY_INST/transfer/U1308/VOY_INST/transfer/n3076G=(G3*G4)*G1*~G21VOY_INST/transfer/U1459/VOY_INST/transfer/n3057F=~((~F4+F3)+F2)8VOY_INST/transfer/precomp2_reg/$1I130VOY_INST/transfer/U1377/VOY_INST/transfer/n3090G=(G4*G2)*~G3*~G11VOY_INST/transfer/U1381F=F2+~((~F4+F3)+~F1)5VOY_INST/transfer/read_state_reg<0>/$1I13*VOY_INST/transfer/U1474H=F+G0VOY_INST/transfer/U1476/VOY_INST/transfer/n3267G=(G1+(G4*G2))+G31VOY_INST/transfer/U1480/VOY_INST/transfer/n3266F=(~(~F4*F2)*~F3)*F15VOY_INST/transfer/read_state_reg<1>/$1I13*VOY_INST/transfer/U1483H=G+~(H1+~F)0VOY_INST/transfer/U1487G=~(~G2*(G4+G3))*G11VOY_INST/transfer/U1489/VOY_INST/transfer/irf_hintF=(F3*~F1)*F45VOY_INST/transfer/read_state_reg<2>/$1I13*BEL_n1424.HH=H11VOY_INST/transfer/U1304/n1424F=~((~F3+F4)+F2)5VOY_INST/transfer/read_state_shadow_reg<1>/$1I138VOY_INST/transfer/read_state_shadow_reg<2>/$1I130VOY_INST/transfer/U1398/VOY_INST/transfer/n3210G=~((~G4+G2)+G3)1VOY_INST/transfer/U1396/VOY_INST/transfer/n3206F=~((~F4+F2)+F3)5VOY_INST/transfer/retries_reg<0>8VOY_INST/transfer/retries_reg<1>0VOY_INST/transfer/U1402/VOY_INST/transfer/n3214G=~((~G4+G2)+G1)1VOY_INST/transfer/U1400/VOY_INST/transfer/n3209F=~((~F4+F2)+F1)8VOY_INST/transfer/retries_reg<2>5VOY_INST/transfer/retries_reg<3>0VOY_INST/transfer/U1406/VOY_INST/transfer/n3218G=~((~G3+G4)+G2)1VOY_INST/transfer/U1404/VOY_INST/transfer/n3213F=~((~F3+F4)+F2)8VOY_INST/transfer/retries_reg<4>5VOY_INST/transfer/retries_reg<5>0VOY_INST/transfer/U1410/VOY_INST/transfer/n3221G=~((~G4+G3)+G2)1VOY_INST/transfer/U1408/VOY_INST/transfer/n3217F=~((~F4+F3)+F2)8VOY_INST/transfer/retries_reg<6>5VOY_INST/transfer/retries_reg<7>*VOY_INST/transfer/U1508H=~(F+G)0VOY_INST/transfer/U1511/VOY_INST/transfer/n3295G=(G4+G2)+G3+G11VOY_INST/transfer/U1510/VOY_INST/transfer/n3296F=~((F3*F2)*F1*~F4)8VOY_INST/transfer/retry_limit_reg/$1I130VOY_INST/transfer/rtry_cntr/add_487/U21G=G2@G11VOY_INST/transfer/rtry_cntr/add_487/U20F=~(F1)0VOY_INST/transfer/rtry_cntr/add_487/U24G=((G1*G2)*G4)@G31VOY_INST/transfer/rtry_cntr/add_487/U22F=(F1*F4)@F20VOY_INST/transfer/rtry_cntr/add_487/U28G=((G1*G4)*G2)@G31VOY_INST/transfer/rtry_cntr/add_487/U26F=(F4*F3)@F10VOY_INST/transfer/rtry_cntr/add_487/U32G=((G1*G3)*G2)@G41VOY_INST/transfer/rtry_cntr/add_487/U30F=(F4*F1)@F2*VOY_INST/transfer/rtry_cntr/add_487/U34/VOY_INST/transfer/rtry_cntr/add_487/n13H=(H1*G)*F1VOY_INST/transfer/rtry_cntr/add_487/U35/VOY_INST/transfer/rtry_cntr/add_487/n12F=(F4*F2)*F1VOY_INST/transfer/U1210VOY_INST/transfer/U1211VOY_INST/transfer/U1212VOY_INST/transfer/U1213VOY_INST/transfer/U1214VOY_INST/transfer/U1215VOY_INST/transfer/U1216VOY_INST/transfer/U1217VOY_INST/transfer/U1218VOY_INST/transfer/U1219VOY_INST/transfer/U1220VOY_INST/transfer/U1221VOY_INST/transfer/U1222VOY_INST/transfer/U1223VOY_INST/transfer/U1224VOY_INST/transfer/U1225VOY_INST/transfer/U1226VOY_INST/transfer/U1227VOY_INST/transfer/U1228VOY_INST/transfer/U1229VOY_INST/transfer/U1230VOY_INST/transfer/U1231VOY_INST/transfer/U1232VOY_INST/transfer/U1233VOY_INST/transfer/U1234VOY_INST/transfer/U1235VOY_INST/transfer/U1236VOY_INST/transfer/U1237VOY_INST/transfer/U1238VOY_INST/transfer/U1239VOY_INST/transfer/U1240VOY_INST/transfer/U1241VOY_INST/transfer/U1242VOY_INST/transfer/U1243VOY_INST/transfer/U1244VOY_INST/transfer/U1245VOY_INST/transfer/U1246VOY_INST/transfer/U1247VOY_INST/transfer/U1248VOY_INST/transfer/U1249VOY_INST/transfer/U1250VOY_INST/transfer/U1251VOY_INST/transfer/U1252VOY_INST/transfer/U1253VOY_INST/transfer/U1254VOY_INST/transfer/U1255VOY_INST/transfer/U1256VOY_INST/transfer/U1257VOY_INST/transfer/U1258VOY_INST/transfer/U1259VOY_INST/transfer/U1260VOY_INST/transfer/U1261VOY_INST/transfer/U1262VOY_INST/transfer/U1263VOY_INST/transfer/U1264VOY_INST/transfer/U1265VOY_INST/transfer/U1266VOY_INST/transfer/U1267VOY_INST/transfer/U1268VOY_INST/transfer/U1269VOY_INST/transfer/U1270VOY_INST/transfer/U1271VOY_INST/transfer/U1272VOY_INST/transfer/U12730VOY_INST/transfer/U1314G=G2+~((~G3+G1)+~G4)1VOY_INST/transfer/U1290/VOY_INST/transfer/n3099F=(F1*F3)*~F2*~F4*VOY_INST/transfer/U1295H=~(H1*G)0VOY_INST/transfer/irf_fifo/U403G=~((G1+~G3)+G2)1VOY_INST/transfer/irf_fifo/U399F=F3+((F4*~F2)*~F1)8VOY_INST/transfer/irf_fifo/almost_full_reg*VOY_INST/transfer/U1297H=~(F+G)1VOY_INST/transfer/U1316/VOY_INST/transfer/iwf_hintF=~((~F1+F2)+F3)0VOY_INST/transfer/U1454G=~(G2+G1)1VOY_INST/transfer/U1299/VOY_INST/transfer/n3073F=~((F1+F2)+F4+~F3)0VOY_INST/transfer/U1385G=G1+~((~G4+G2)+~G3)1VOY_INST/transfer/U1301F=((F1+F3)+F4)*F20VOY_INST/transfer/U1335G=~(G2+G3)1VOY_INST/transfer/U1322/VOY_INST/transfer/n3081F=(F3*~F2)*F10VOY_INST/transfer/U1337G=~(~((G2*G1)*G3)*G4)1VOY_INST/transfer/U1332F=~(~F3*(F1+F2))*F40VOY_INST/transfer/U1415G=~(~G1*G2)*G41VOY_INST/transfer/U1334/VOY_INST/transfer/n3063F=~((~F2+F4)+F1)0VOY_INST/transfer/U1412G=~G2*G11VOY_INST/transfer/U1340/VOY_INST/transfer/n3088F=(F3*F1)*~F4*~F20VOY_INST/transfer/U1392G=G4+~(~(G2+~G3)+G1)1VOY_INST/transfer/U1349/VOY_INST/transfer/n3102F=~((~F3+F4)+F2)1VOY_INST/transfer/U1352F=~(~(F1*F2)*~(F3*F4))0VOY_INST/transfer/U1362G=~(G4*~G2)1VOY_INST/transfer/U1354F=~(F2*~F1)0VOY_INST/transfer/U1363/COMPLETEG=(G1+G3)+G2+G41VOY_INST/transfer/U1356/VOY_INST/transfer/n475F=(F1+F3)+F2+~F4*VOY_INST/transfer/U1421H=~(~(H1*G)*~(~H1*F))1VOY_INST/transfer/U1423/VOY_INST/transfer/irf_loadF=(F2*F3)*F4*~F1*VOY_INST/transfer/U1427H=~(~(H1*G)*~(~H1*F))1VOY_INST/transfer/U1430/VOY_INST/transfer/irf_unloadF=(F4*F3)*~F2*~F18VOY_INST/transfer/irf_rd_reg_reg/$1I13*VOY_INST/transfer/U1432/VOY_INST/transfer/n562H=(F+~H1)+G0VOY_INST/transfer/U1368G=((G4+G2)+G1)*G31VOY_INST/transfer/U1434/VOY_INST/transfer/n3041F=~((~F1+F4)+F2)8VOY_INST/transfer/cond_reg_reg*VOY_INST/transfer/U1437H=~(~(G*F)*(H1+G))0VOY_INST/transfer/U1388G=~(~G2*((G4+G1)+G3))1VOY_INST/transfer/U1444/VOY_INST/transfer/n3062F=~((((F3@F2)+F4)*~(~(~(F3@F2)*F1)*F4))*(F2+F1))5VOY_INST/transfer/w_overshoot_reg<1>/$1I13*VOY_INST/transfer/U1448H=~(((F@G)+H1)*~((F*~G)*H1))0PCI_CORE/PCI_LC/DATA_VLD/$1I534G=G31VOY_INST/transfer/U1451F=~F1*F45PCI_CORE/PCI_LC/DATA_VLD/M_DATA_VLD*VOY_INST/transfer/U1453/VOY_INST/transfer/w_reverse H=~((~F+H1)+G)1VOY_INST/transfer/U1473 F=F3*F4*VOY_INST/transfer/U1461/VOY_INST/transfer/iwf_load H=(G*~F)*H10BEL_VOY_INST/transfer/n3048.G G=G41VOY_INST/transfer/U1462/VOY_INST/transfer/n3048 F=(F4+F1)+F3+F28VOY_INST/transfer/iwf_wr_reg_reg/$1I13 5VOY_INST/transfer/write_state_shadow_reg<1>/$1I13 *VOY_INST/transfer/U1469 H=F+~(H1+~G)1VOY_INST/transfer/U1472 F=~(~F1*~((F3*F2)*F4))*VOY_INST/transfer/U1490/VOY_INST/transfer/n3282 H=(H1+F)+G0VOY_INST/transfer/U1494/VOY_INST/transfer/n3281 G=~((G3*~(~G4*G2))*~G1)1VOY_INST/transfer/U1343 F=~(~F3*((F1+F2)+F4))5VOY_INST/transfer/write_state_reg<0>/$1I13 *VOY_INST/transfer/U1497 H=~(F*~G)0VOY_INST/transfer/U1500 G=G2+~(~(G4+G3)+G1)1VOY_INST/transfer/U1504 F=~(~((~F1+F4)+~F2)+F3)5VOY_INST/transfer/write_state_reg<1>/$1I13 *VOY_INST/transfer/U1505H=F+G0VOY_INST/transfer/U1506/VOY_INST/transfer/n3292G=(G2+G1)+G4+G31VOY_INST/transfer/U1507/VOY_INST/transfer/n3291F=(F1*F3)*F4*F28VOY_INST/transfer/write_state_reg<2>/$1I13*BEL_n1422.HH=H11VOY_INST/transfer/U1306F=~F3*F15VOY_INST/transfer/write_state_shadow_reg<0>/$1I138VOY_INST/transfer/write_state_shadow_reg<2>/$1I13*VOY_INST/transfer/U1514H=~(~F*(G+H1))0VOY_INST/transfer/U1518G=~((G1+G4)*~(~G2*G3))1VOY_INST/transfer/U1520F=~F2*F35VOY_INST/transfer/w_overshoot_reg<0>/$1I13 PCI_CORE/PCI_LC/$6I3970$BEL_469G=11$BEL_478F=11$BEL_782F=01$BEL_855F=01$BEL_966F=01$BEL_1080F=0AD<0>IOBPAD101  FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I1MUX:IQ SLEW:SLOWAD<10>IOBPAD85  FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOW AD<11>IOBPAD82FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOW   AD<12>IOBPAD84  FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOWAD<13>IOBPAD81  FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOWAD<14>IOBPAD87  FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I1MUX:IQ SLEW:SLOWAD<15> IOBPAD88  FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOW !"AD<16> IOBPAD86FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOW#$%&'AD<17> IOBPAD89FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOW()*+,AD<18> IOBPAD97  FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I1MUX:IQ SLEW:SLOW-./01AD<19> IOBPAD90  FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I1MUX:IQ SLEW:SLOW23456AD<1>IOBPAD99FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOW789:;AD<20>IOBPAD98FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOW<=>?@AD<21>IOBPAD96FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOWABCDEAD<22>IOBPAD94  FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I1MUX:IQ SLEW:SLOWFGHIJAD<23>IOBPAD93FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOWKLMNOAD<24>IOBPAD65FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOWPQRSTAD<25>IOBPAD66  FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOWUVWXYAD<26>IOBPAD58FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOWZ[\]^AD<27>IOBPAD60  FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I1MUX:IQ SLEW:SLOW_`abcAD<28>IOBPAD64  FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I1MUX:IQ SLEW:SLOWdefghAD<29>IOBPAD63FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOWijklmAD<2>IOBPAD72FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOWnopqrAD<30>IOBPAD67FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOWstuvwAD<31>IOBPAD68  FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I1MUX:IQ SLEW:SLOWxyz{|AD<3>IOBPAD75  FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I1MUX:IQ SLEW:SLOW}~AD<4>IOBPAD70  FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I1MUX:IQ SLEW:SLOWAD<5>IOBPAD71FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOWAD<6>IOBPAD74  FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I1MUX:IQ SLEW:SLOWAD<7> IOBPAD76FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOWAD<8>!IOBPAD80  FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I1MUX:IQ SLEW:SLOWAD<9>"IOBPAD83FOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ SLEW:SLOWCBE<0>#IOBPAD129NOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ I1MUX:I SLEW:SLOWCBE<1>$IOBPAD124NOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ I1MUX:I SLEW:SLOWCBE<2>%IOBPAD123NOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ I1MUX:I SLEW:SLOWCBE<3>&IOBPAD122NOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQ I1MUX:I SLEW:SLOWDEVSEL_N'IOBPAD140DOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:SET I2MUX:IQ SLEW:SLOWFRAME_N(IOBPAD144LOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:SET I2MUX:IQ I1MUX:I SLEW:SLOWGNT_N)IOBPAD143,IMUX:DELAY IKMUX:IK ISR:SET I2MUX:IQ I1MUX:IIDSEL*IOBPAD125&IMUX:DELAY IKMUX:IK ISR:RESET I2MUX:IQIF_ADDR<0>+IOBPAD170OUTMUX:O OMUX:0 SLEW:SLOWIF_ADDR<10>,IOBPAD40OUTMUX:O OMUX:O SLEW:SLOWIF_ADDR<11>-IOBPAD41OUTMUX:O OMUX:O SLEW:SLOWIF_ADDR<12>.IOBPAD36OUTMUX:O OMUX:O SLEW:SLOWIF_ADDR<13>/IOBPAD4OUTMUX:O OMUX:O SLEW:SLOWIF_ADDR<14>0IOBPAD33OUTMUX:O OMUX:O SLEW:SLOWIF_ADDR<15>1IOBPAD39OUTMUX:O OMUX:O SLEW:SLOWIF_ADDR<1>2IOBPAD169OUTMUX:O OMUX:0 SLEW:SLOWIF_ADDR<2>3IOBPAD47OUTMUX:O OMUX:O SLEW:SLOWIF_ADDR<3>4IOBPAD44OUTMUX:O OMUX:O SLEW:SLOWIF_ADDR<4>5IOBPAD32OUTMUX:O OMUX:O SLEW:SLOWIF_ADDR<5>6IOBPAD209OUTMUX:O OMUX:O SLEW:SLOWIF_ADDR<6>7IOBPAD34OUTMUX:O OMUX:O SLEW:SLOWIF_ADDR<7>8IOBPAD35OUTMUX:O OMUX:O SLEW:SLOWIF_ADDR<8>9IOBPAD28OUTMUX:O OMUX:O SLEW:SLOWIF_ADDR<9>:IOBPAD31OUTMUX:O OMUX:O SLEW:SLOWINTR_A;IOBPAD145"OUTMUX:O OMUX:0 TRI:TNOT SLEW:SLOWIRDY_N<IOBPAD142  'OUTMUX:O OMUX:O TRI:T I2MUX:I SLEW:SLOWIRF_AE=IOBPAD185OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<0>>IOBPAD107OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<10>?IOBPAD114OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<11>@IOBPAD100OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<12>AIOBPAD95OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<13>BIOBPAD79OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<14>CIOBPAD118OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<15>DIOBPAD117OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<16>EIOBPAD121OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<17>FIOBPAD116OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<18>GIOBPAD103OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<19>HIOBPAD112OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<1>IIOBPAD111OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<20>JIOBPAD115OUTMUX:O OMUX:O SLEW:SLOW IRF_DOUT<21>KIOBPAD102OUTMUX:O OMUX:O SLEW:SLOW  IRF_DOUT<22>LIOBPAD104OUTMUX:O OMUX:O SLEW:SLOW  IRF_DOUT<23>MIOBPAD108OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<24>NIOBPAD51OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<25>OIOBPAD56OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<26>PIOBPAD57OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<27>QIOBPAD54OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<28>RIOBPAD21OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<29>SIOBPAD27OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<2>TIOBPAD73OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<30>UIOBPAD53OUTMUX:O OMUX:O SLEW:SLOWIRF_DOUT<31>VIOBPAD52OUTMUX:O OMUX:O SLEW:SLOW !IRF_DOUT<32>WIOBPAD157OUTMUX:O OMUX:O SLEW:SLOW"#IRF_DOUT<33>XIOBPAD154OUTMUX:O OMUX:O SLEW:SLOW$%IRF_DOUT<34>YIOBPAD159OUTMUX:O OMUX:O SLEW:SLOW&'IRF_DOUT<35>ZIOBPAD156OUTMUX:O OMUX:O SLEW:SLOW()IRF_DOUT<3>[IOBPAD59OUTMUX:O OMUX:O SLEW:SLOW*+IRF_DOUT<4>\IOBPAD210OUTMUX:O OMUX:O SLEW:SLOW,-IRF_DOUT<5>]IOBPAD23OUTMUX:O OMUX:O SLEW:SLOW./IRF_DOUT<6>^IOBPAD208OUTMUX:O OMUX:O SLEW:SLOW01IRF_DOUT<7>_IOBPAD206OUTMUX:O OMUX:O SLEW:SLOW23IRF_DOUT<8>`IOBPAD201OUTMUX:O OMUX:O SLEW:SLOW45IRF_DOUT<9>aIOBPAD193OUTMUX:O OMUX:O SLEW:SLOW67IRF_RDbIOBPAD152  I2MUX:I89IRF_STcIOBPAD184OUTMUX:O OMUX:O SLEW:SLOW:;IWF_AFdIOBPAD199OUTMUX:O OMUX:O SLEW:SLOW<=IWF_DIN<0>eIOBPAD181  I2MUX:I>?IWF_DIN<10>fIOBPAD197  I1MUX:I@AIWF_DIN<11>gIOBPAD188  I2MUX:IBCIWF_DIN<12>hIOBPAD17  I2MUX:IDEIWF_DIN<13>iIOBPAD30  I1MUX:IFGIWF_DIN<14>jIOBPAD187  I2MUX:IHIIWF_DIN<15>kIOBPAD195  I1MUX:IJKIWF_DIN<16>lIOBPAD191  I2MUX:ILMIWF_DIN<17>mIOBPAD192  I1MUX:INOIWF_DIN<18>nIOBPAD182  I2MUX:IPQIWF_DIN<19>oIOBPAD180  I2MUX:IRSIWF_DIN<1>pIOBPAD155  I2MUX:ITUIWF_DIN<20>qIOBPAD183  I2MUX:IVWIWF_DIN<21>rIOBPAD186  I2MUX:IXYIWF_DIN<22>sIOBPAD178  I2MUX:IZ[IWF_DIN<23>tIOBPAD158I1MUX:I\]IWF_DIN<24>uIOBPAD25  I2MUX:I^_IWF_DIN<25>vIOBPAD18  I1MUX:I`aIWF_DIN<26>wIOBPAD3  I2MUX:IbcIWF_DIN<27>xIOBPAD9  I1MUX:IdeIWF_DIN<28>yIOBPAD11  I1MUX:IfgIWF_DIN<29>zIOBPAD221  I2MUX:IhiIWF_DIN<2>{IOBPAD219  I1MUX:IjkIWF_DIN<30>|IOBPAD216  I2MUX:IlmIWF_DIN<31>}IOBPAD13  I2MUX:InoIWF_DIN<3>~IOBPAD5  I2MUX:IpqIWF_DIN<4>IOBPAD6  I2MUX:IrsIWF_DIN<5>IOBPAD15  I2MUX:ItuIWF_DIN<6>IOBPAD12  I2MUX:IvwIWF_DIN<7>IOBPAD213  I1MUX:IxyIWF_DIN<8>IOBPAD200  I2MUX:Iz{IWF_DIN<9>IOBPAD202  I2MUX:I|}IWF_LDIOBPAD196OUTMUX:O OMUX:O SLEW:SLOW~IWF_WRIOBPAD198  I1MUX:ILADDR<6>IOBPAD214  I2MUX:ILADDR<7>IOBPAD223I1MUX:ILADDR<8>IOBPAD212  I2MUX:ILADDR<9>IOBPAD220  I2MUX:ILDIN<10>IOBPAD110  I1MUX:ILDIN<11>IOBPAD130I1MUX:ILDIN<12>IOBPAD211  I2MUX:ILDIN<13>IOBPAD207I1MUX:ILDIN<14>IOBPAD151  I2MUX:ILDIN<15>IOBPAD146  I1MUX:ILDIN<16>IOBPAD153  I1MUX:ILDIN<17>IOBPAD150  I2MUX:ILDIN<18>IOBPAD136  I2MUX:ILDIN<19>IOBPAD135  I1MUX:ILDIN<20>IOBPAD132  I2MUX:ILDIN<21>IOBPAD131I1MUX:ILDIN<22>IOBPAD137  I2MUX:ILDIN<23>IOBPAD139  I2MUX:ILDIN<24>IOBPAD24  I2MUX:ILDIN<25>IOBPAD22  I1MUX:ILDIN<26>IOBPAD26  I2MUX:ILDIN<27>IOBPAD29  I2MUX:ILDIN<28>IOBPAD43  I2MUX:ILDIN<29>IOBPAD42  I2MUX:ILDIN<2>IOBPAD55I1MUX:ILDIN<30>IOBPAD10  I2MUX:ILDIN<31>IOBPAD14  I1MUX:ILDIN<3>IOBPAD46  I1MUX:ILDIN<4>IOBPAD45  I2MUX:ILDIN<5>IOBPAD69  I2MUX:ILDIN<6>IOBPAD48  I1MUX:ILDIN<7>IOBPAD109  I1MUX:ILDIN<8>IOBPAD16  I2MUX:ILDIN<9>IOBPAD205  I2MUX:ILINT_NIOBPAD194OUTMUX:O OMUX:ONOT SLEW:SLOWLWEIOBPAD215  I1MUX:IPARIOBPAD126  'OUTMUX:O OMUX:O TRI:T I1MUX:I SLEW:SLOWPCI_CORE/PCI_LC/OE_ADICLBCLB_R19C15 CLKX:CLK YMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:DIN H1:C1 DIN:C4 H:#LUT:H=(H1+G)+F H0:G H2:F G:#LUT:G=(G3+G2)+(G1*G4) F:#LUT:F=F3*((F4*F1)+(~F2*~F1)) SRX:RESET*015PCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/M_DATA_C1CLBCLB_R19C11 _CLKY:CLK DY:DIN XMUX:F YMUX:G G2MUX:G2I YQMUX:QY DIN:C2 G:#LUT:G=G2*G1 F:#LUT:F=F2*F3 SRY:RESET018PCI_CORE/PCI_LC/$3N3489CLBCLB_R20C12 IXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I G:#LUT:G=(G2*G3)*~G1*~G4 F:#LUT:F=F2*F101ADDR_VLDCLBCLB_R23C21 _CLKX:CLK XMUX:F YMUX:G G2MUX:G2I XQMUX:QX DX:DIN DIN:C1 G:#LUT:G=~G1*G2 F:#LUT:F=~F1*F2 SRX:SET015PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/OE_LBCLBCLB_R24C11 CLKX:CLK XMUX:H YMUX:G G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H H1:C2 SR:C3 H:#LUT:H=~((~F*~G)+H1) H0:SR H2:F G:#LUT:G=G2+G1 F:#LUT:F=~(~F2+(F4*F3)) SRX:SET*015PCI_CORE/PCI_LC/OUT_CE/ZERO_CE_BCLBCLB_R23C16 CLKX:CLK XMUX:H YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H H1:C1 H:#LUT:H=F+H1+G H0:G H2:F G:#LUT:G=(G3*G4)+(G2*G1) F:#LUT:F=(F1*~F4)*~F3 SRX:RESET*015STATUS<38>CLBCLB_R18C11 FXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I G:#LUT:G=(G2*G1)*~G3 F:#LUT:F=~F3*F101PCI_CORE/PCI_LC/SET13CLBCLB_R15C18 CLKY:CLK DY:G XMUX:F YMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC EC:C4 H:#LUT:H=F H2:F G:#LUT:G=(~G2*~G1)*~G4*G3 F:#LUT:F=~F4*F3 SRY:RESET*018PCI_CORE/PCI_LC/$6I3STARTUPSTARTUPGSRATTR:NOT GTSATTR:NOTM_ADDR_NCLBCLB_R21C15 bCLKX:CLK XMUX:F G3MUX:G3I G2MUX:G2I XQMUX:QX DX:G G:#LUT:G=G2*(G3*G4)*~G1 F:#LUT:F=~(F1) SRX:RESET015S_CBE<3>CLBCLB_R21C24 XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I G:#LUT:G=((((~G1*G4)*G3*G2)+((~G1*G4)*G3*~G2))+((G1*G4)*G3*~G2)+((G1*G4)*~G3*~G2))+((G1*G4)*G3*G2) F:#LUT:F=F101S_CBE<2>CLBCLB_R25C24 XMUX:F F:#LUT:F=F11S_CBE<1>CLBCLB_R26C24 XMUX:F F:#LUT:F=F31PCI_CORE/PCI_LC/0/LOWER/T0TBUFTBUF_R22C25.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/LOWER/T1TBUFTBUF_R22C25.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/LOWER/T10TBUFTBUF_R14C25.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/LOWER/T11TBUFTBUF_R14C25.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/LOWER/T12TBUFTBUF_R11C25.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/LOWER/T13TBUFTBUF_R11C25.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/LOWER/T14TBUFTBUF_R15C25.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/LOWER/T15TBUFTBUF_R15C25.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/LOWER/T2TBUFTBUF_R9C25.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/LOWER/T3TBUFTBUF_R8C25.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/LOWER/T4TBUFTBUF_R7C25.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/LOWER/T5TBUFTBUF_R8C25.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/LOWER/T6TBUFTBUF_R9C25.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/LOWER/T7TBUFTBUF_R10C25.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/LOWER/T8TBUFTBUF_R12C25.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/LOWER/T9TBUFTBUF_R12C25.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/UPPER/T0TBUFTBUF_R16C25.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/UPPER/T1TBUFTBUF_R16C25.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/UPPER/T10TBUFTBUF_R3C25.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/UPPER/T11TBUFTBUF_R3C25.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/UPPER/T12TBUFTBUF_R4C25.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/UPPER/T13TBUFTBUF_R4C25.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/UPPER/T14TBUFTBUF_R6C25.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/UPPER/T15TBUFTBUF_R6C25.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/UPPER/T2TBUFTBUF_R18C25.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/UPPER/T3TBUFTBUF_R17C25.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/0/UPPER/T4TBUFTBUF_R21C25.2TBUFATTR:TBUF IATTR:I PCI_CORE/PCI_LC/0/UPPER/T5TBUFTBUF_R21C25.1TBUFATTR:TBUF IATTR:I PCI_CORE/PCI_LC/0/UPPER/T6TBUFTBUF_R19C25.2TBUFATTR:TBUF IATTR:I PCI_CORE/PCI_LC/0/UPPER/T7TBUFTBUF_R19C25.1TBUFATTR:TBUF IATTR:I PCI_CORE/PCI_LC/0/UPPER/T8TBUFTBUF_R5C25.1TBUFATTR:TBUF IATTR:I PCI_CORE/PCI_LC/0/UPPER/T9TBUFTBUF_R5C25.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/1/LOWER/T0TBUFTBUF_R22C22.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/1/LOWER/T1TBUFTBUF_R22C22.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/1/LOWER/T10TBUFTBUF_R14C22.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/1/LOWER/T11TBUFTBUF_R14C22.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/1/LOWER/T12TBUFTBUF_R11C22.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/1/LOWER/T13TBUFTBUF_R11C22.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/1/LOWER/T14TBUFTBUF_R15C22.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/1/LOWER/T15TBUFTBUF_R15C22.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/1/LOWER/T2TBUFTBUF_R9C22.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/1/LOWER/T3TBUFTBUF_R8C22.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/1/LOWER/T4TBUFTBUF_R7C22.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/1/LOWER/T5TBUFTBUF_R8C22.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/1/LOWER/T6TBUFTBUF_R9C22.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/1/LOWER/T7TBUFTBUF_R10C22.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/1/LOWER/T8TBUFTBUF_R12C22.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/1/LOWER/T9TBUFTBUF_R12C22.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/1/UPPER/T0TBUFTBUF_R16C22.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/1/UPPER/T1TBUFTBUF_R16C22.2TBUFATTR:TBUF IATTR:GND PCI_CORE/PCI_LC/1/UPPER/T10TBUFTBUF_R3C22.1TBUFATTR:TBUF IATTR:I!PCI_CORE/PCI_LC/1/UPPER/T11TBUFTBUF_R3C22.2TBUFATTR:TBUF IATTR:I"PCI_CORE/PCI_LC/1/UPPER/T12TBUFTBUF_R4C22.2TBUFATTR:TBUF IATTR:I#PCI_CORE/PCI_LC/1/UPPER/T13TBUFTBUF_R4C22.1TBUFATTR:TBUF IATTR:I$PCI_CORE/PCI_LC/1/UPPER/T14TBUFTBUF_R6C22.1TBUFATTR:TBUF IATTR:I%PCI_CORE/PCI_LC/1/UPPER/T15TBUFTBUF_R6C22.2TBUFATTR:TBUF IATTR:I&PCI_CORE/PCI_LC/1/UPPER/T2TBUFTBUF_R18C22.2TBUFATTR:TBUF IATTR:GND'PCI_CORE/PCI_LC/1/UPPER/T3TBUFTBUF_R17C22.2TBUFATTR:TBUF IATTR:GND(PCI_CORE/PCI_LC/1/UPPER/T4TBUFTBUF_R21C22.2TBUFATTR:TBUF IATTR:GND)PCI_CORE/PCI_LC/1/UPPER/T5TBUFTBUF_R21C22.1TBUFATTR:TBUF IATTR:GND*PCI_CORE/PCI_LC/1/UPPER/T6TBUFTBUF_R19C22.2TBUFATTR:TBUF IATTR:I+PCI_CORE/PCI_LC/1/UPPER/T7TBUFTBUF_R19C22.1TBUFATTR:TBUF IATTR:GND,PCI_CORE/PCI_LC/1/UPPER/T8TBUFTBUF_R5C22.1TBUFATTR:TBUF IATTR:I-PCI_CORE/PCI_LC/1/UPPER/T9TBUFTBUF_R5C22.2TBUFATTR:TBUF IATTR:GND.PCI_CORE/PCI_LC/4/LOWER/T0TBUFTBUF_R22C27.2TBUFATTR:TBUF IATTR:GND/PCI_CORE/PCI_LC/4/LOWER/T1TBUFTBUF_R22C27.1TBUFATTR:TBUF IATTR:GND0PCI_CORE/PCI_LC/4/LOWER/T10TBUFTBUF_R14C27.2TBUFATTR:TBUF IATTR:GND1PCI_CORE/PCI_LC/4/LOWER/T11TBUFTBUF_R14C27.1TBUFATTR:TBUF IATTR:GND2PCI_CORE/PCI_LC/4/LOWER/T12TBUFTBUF_R11C27.1TBUFATTR:TBUF IATTR:I3PCI_CORE/PCI_LC/4/LOWER/T13TBUFTBUF_R11C27.2TBUFATTR:TBUF IATTR:I4PCI_CORE/PCI_LC/4/LOWER/T14TBUFTBUF_R15C27.2TBUFATTR:TBUF IATTR:I5PCI_CORE/PCI_LC/4/LOWER/T15TBUFTBUF_R15C27.1TBUFATTR:TBUF IATTR:I6PCI_CORE/PCI_LC/4/LOWER/T2TBUFTBUF_R9C27.1TBUFATTR:TBUF IATTR:GND7PCI_CORE/PCI_LC/4/LOWER/T3TBUFTBUF_R8C27.2TBUFATTR:TBUF IATTR:GND8PCI_CORE/PCI_LC/4/LOWER/T4TBUFTBUF_R7C27.2TBUFATTR:TBUF IATTR:GND9PCI_CORE/PCI_LC/4/LOWER/T5TBUFTBUF_R8C27.1TBUFATTR:TBUF IATTR:GND:PCI_CORE/PCI_LC/4/LOWER/T6TBUFTBUF_R9C27.2TBUFATTR:TBUF IATTR:GND;PCI_CORE/PCI_LC/4/LOWER/T7TBUFTBUF_R10C27.1TBUFATTR:TBUF IATTR:GND<PCI_CORE/PCI_LC/4/LOWER/T8TBUFTBUF_R12C27.2TBUFATTR:TBUF IATTR:GND=PCI_CORE/PCI_LC/4/LOWER/T9TBUFTBUF_R12C27.1TBUFATTR:TBUF IATTR:GND>PCI_CORE/PCI_LC/4/UPPER/T0 TBUFTBUF_R16C27.1TBUFATTR:TBUF IATTR:I?PCI_CORE/PCI_LC/4/UPPER/T1 TBUFTBUF_R16C27.2TBUFATTR:TBUF IATTR:I@PCI_CORE/PCI_LC/4/UPPER/T10 TBUFTBUF_R3C27.1TBUFATTR:TBUF IATTR:IAPCI_CORE/PCI_LC/4/UPPER/T11 TBUFTBUF_R3C27.2TBUFATTR:TBUF IATTR:IBPCI_CORE/PCI_LC/4/UPPER/T12 TBUFTBUF_R4C27.2TBUFATTR:TBUF IATTR:ICPCI_CORE/PCI_LC/4/UPPER/T13TBUFTBUF_R4C27.1TBUFATTR:TBUF IATTR:IDPCI_CORE/PCI_LC/4/UPPER/T14TBUFTBUF_R6C27.1TBUFATTR:TBUF IATTR:IEPCI_CORE/PCI_LC/4/UPPER/T15TBUFTBUF_R6C27.2TBUFATTR:TBUF IATTR:IFPCI_CORE/PCI_LC/4/UPPER/T2TBUFTBUF_R18C27.2TBUFATTR:TBUF IATTR:IGPCI_CORE/PCI_LC/4/UPPER/T3TBUFTBUF_R17C27.2TBUFATTR:TBUF IATTR:IHPCI_CORE/PCI_LC/4/UPPER/T4TBUFTBUF_R21C27.2TBUFATTR:TBUF IATTR:IIPCI_CORE/PCI_LC/4/UPPER/T5TBUFTBUF_R21C27.1TBUFATTR:TBUF IATTR:IJPCI_CORE/PCI_LC/4/UPPER/T6TBUFTBUF_R19C27.2TBUFATTR:TBUF IATTR:IKPCI_CORE/PCI_LC/4/UPPER/T7TBUFTBUF_R19C27.1TBUFATTR:TBUF IATTR:ILPCI_CORE/PCI_LC/4/UPPER/T8TBUFTBUF_R5C27.1TBUFATTR:TBUF IATTR:IMPCI_CORE/PCI_LC/4/UPPER/T9TBUFTBUF_R5C27.2TBUFATTR:TBUF IATTR:INPCI_CORE/PCI_LC/BAR0/EQCLBCLB_R18C27 fYMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H:#LUT:H=F*G H0:G H2:F G:#LUT:G=(G4*G1)*G2*G3 F:#LUT:F=(F2*F1)*F4O*P0Q1PCI_CORE/PCI_LC/BAR0/BR-15-8/EQ1CLBCLB_R14C27 qXMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H:#LUT:H=F*G H0:G H2:F G:#LUT:G=~(G3@G4)*~(G2@G1) F:#LUT:F=~(F2@F4)*~(F3@F1)R*S0T1PCI_CORE/PCI_LC/BAR0/BR-15-8/$1N2609CLBCLB_R11C27 pCLKX:CLK ECX:EC CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C2 DIN:C4 EC:C3 H:#LUT:H=H1 SRX:RESET SRY:RESETU*V8W5PCI_CORE/PCI_LC/BAR0/BR-15-8/$1N2384CLBCLB_R15C27 pCLKX:CLK ECX:EC CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C2 DIN:C1 EC:C3 H:#LUT:H=H1 SRX:RESET SRY:RESETX*Y8Z5PCI_CORE/PCI_LC/BAR0/BR-23-16/EQ0CLBCLB_R17C28 qXMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H:#LUT:H=F*G H0:G H2:F G:#LUT:G=~(G3@G1)*~(G2@G4) F:#LUT:F=~(F4@F1)*~(F3@F2)[*\0]1PCI_CORE/PCI_LC/BAR0/BR-23-16/EQ1CLBCLB_R20C27 qXMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H:#LUT:H=F*G H0:G H2:F G:#LUT:G=~(G4@G1)*~(G3@G2) F:#LUT:F=~(F1@F3)*~(F2@F4)^*_0`1PCI_CORE/PCI_LC/BAR0/BR-23-16/$1N2793CLBCLB_R16C27 pCLKX:CLK ECX:EC CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C3 DIN:C2 EC:C1 H:#LUT:H=H1 SRX:RESET SRY:RESETa*b8c5PCI_CORE/PCI_LC/BAR0/BR-23-16/$1N2613 CLBCLB_R17C27 pCLKX:CLK ECX:EC CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C3 DIN:C1 EC:C2 H:#LUT:H=H1 SRX:RESET SRY:RESETd*e8f5PCI_CORE/PCI_LC/BAR0/BR-23-16/$1N2609!CLBCLB_R21C27 pCLKX:CLK ECX:EC CLKY:CLK DY:H XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C4 DIN:C3 EC:C2 H:#LUT:H=H1 SRX:RESET SRY:RESETg*h5i8PCI_CORE/PCI_LC/BAR0/BR-23-16/$1N2384"CLBCLB_R19C27 pCLKX:CLK ECX:EC CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C2 DIN:C3 EC:C1 H:#LUT:H=H1 SRX:RESET SRY:RESETj*k8l5PCI_CORE/PCI_LC/BAR0/BR-31-24/EQ0#CLBCLB_R4C28 qXMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H:#LUT:H=F*G H0:G H2:F G:#LUT:G=~(G3@G2)*~(G4@G1) F:#LUT:F=~(F3@F1)*~(F2@F4)m*n0o1PCI_CORE/PCI_LC/BAR0/BR-31-24/EQ1$CLBCLB_R6C28 qYMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H:#LUT:H=F*G H0:G H2:F G:#LUT:G=~(G3@G2)*~(G1@G4) F:#LUT:F=~(F4@F1)*~(F3@F2)p*q0r1PCI_CORE/PCI_LC/BAR0/BR-31-24/$1N2793%CLBCLB_R5C27 pCLKX:CLK ECX:EC CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C2 DIN:C3 EC:C1 H:#LUT:H=H1 SRX:RESET SRY:RESETs*t8u5PCI_CORE/PCI_LC/BAR0/BR-31-24/$1N2731&CLBCLB_R3C27 pCLKX:CLK ECX:EC CLKY:CLK DY:H XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C4 DIN:C2 EC:C1 H:#LUT:H=H1 SRX:RESET SRY:RESETv*w5x8PCI_CORE/PCI_LC/BAR0/BR-31-24/$1N2609'CLBCLB_R4C27 pCLKX:CLK ECX:EC CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C2 DIN:C3 EC:C1 H:#LUT:H=H1 SRX:RESET SRY:RESETy*z8{5PCI_CORE/PCI_LC/BAR0/BR-31-24/$1N2384(CLBCLB_R6C27 pCLKX:CLK ECX:EC CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C3 DIN:C2 EC:C1 H:#LUT:H=H1 SRX:RESET SRY:RESET|*}8~5PCI_CORE/PCI_LC/BAR0/NS_EQ)CLBCLB_R24C22 CLKX:CLK CLKY:CLK DY:H G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:G H1:C2 H:#LUT:H=H1*F H2:F G:#LUT:G=G3*G2 F:#LUT:F=((F3*F1)*F2)+F4 SRX:RESET SRY:RESET*0185PCI_CORE/PCI_LC/BAR1/NS_EQ*CLBCLB_R27C20 |CLKX:CLK CLKY:CLK DY:G G3MUX:G3I XQMUX:QX YQMUX:QY DX:H H1:C2 H:#LUT:H=H1*F H2:F G:#LUT:G=G3 F:#LUT:F=F3 SRX:RESET SRY:RESET*0158PCI_CORE/PCI_LC/BAR2/NS_EQ+CLBCLB_R27C21 rCLKX:CLK CLKY:CLK DY:G XQMUX:QX YQMUX:QY DX:H H1:C2 H:#LUT:H=H1*F H2:F G:#LUT:G=G1 F:#LUT:F=F1 SRX:RESET SRY:RESET*0158PCI_CORE/PCI_LC/DATA_VLD/NS_MDV,CLBCLB_R22C12 CLKY:CLK DY:DIN XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY DIN:C2 G:#LUT:G=(~G2+~G1)*G3 F:#LUT:F=(F4*~F2)*~F1 SRY:SET018PCI_CORE/PCI_LC/DATA_VLD/NS_SDV-CLBCLB_R25C16 jCLKX:CLK XMUX:F G3MUX:G3I G2MUX:G2I XQMUX:QX DX:G G:#LUT:G=(G4*G3)*~G1*~G2 F:#LUT:F=(F3*~F2)*~F1 SRX:RESET015VOY_INST/registers/wep_xfer_padr.CLBCLB_R23C18 LCLKY:CLK DY:F YMUX:G G3MUX:G3I YQMUX:QY G:#LUT:G=G4*G3 F:#LUT:F=F2 SRY:RESET018PCI_CORE/PCI_LC/E/LOWER/T0/TBUFTBUF_R22C28.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/LOWER/T10TBUFTBUF_R22C28.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/LOWER/T101TBUFTBUF_R14C28.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/LOWER/T112TBUFTBUF_R14C28.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/LOWER/T123TBUFTBUF_R11C28.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/LOWER/T134TBUFTBUF_R11C28.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/LOWER/T145TBUFTBUF_R15C28.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/LOWER/T156TBUFTBUF_R15C28.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/LOWER/T27TBUFTBUF_R9C28.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/LOWER/T38TBUFTBUF_R8C28.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/LOWER/T49TBUFTBUF_R7C28.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/LOWER/T5:TBUFTBUF_R8C28.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/LOWER/T6;TBUFTBUF_R9C28.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/LOWER/T7<TBUFTBUF_R10C28.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/LOWER/T8=TBUFTBUF_R12C28.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/LOWER/T9>TBUFTBUF_R12C28.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/UPPER/T0?TBUFTBUF_R16C28.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/UPPER/T1@TBUFTBUF_R16C28.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/UPPER/T10ATBUFTBUF_R3C28.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/UPPER/T11BTBUFTBUF_R3C28.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/UPPER/T12CTBUFTBUF_R4C28.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/UPPER/T13DTBUFTBUF_R4C28.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/UPPER/T14ETBUFTBUF_R6C28.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/UPPER/T15FTBUFTBUF_R6C28.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/UPPER/T2GTBUFTBUF_R18C28.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/UPPER/T3HTBUFTBUF_R17C28.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/UPPER/T4ITBUFTBUF_R21C28.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/UPPER/T5JTBUFTBUF_R21C28.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/UPPER/T6KTBUFTBUF_R19C28.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/UPPER/T7LTBUFTBUF_R19C28.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/UPPER/T8MTBUFTBUF_R5C28.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/E/UPPER/T9NTBUFTBUF_R5C28.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/F/LOWER/T0OTBUFTBUF_R22C24.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/F/LOWER/T1PTBUFTBUF_R22C24.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/F/LOWER/T10QTBUFTBUF_R14C24.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/LOWER/T11RTBUFTBUF_R14C24.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/LOWER/T12STBUFTBUF_R11C24.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/LOWER/T13TTBUFTBUF_R11C24.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/LOWER/T14UTBUFTBUF_R15C24.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/LOWER/T15VTBUFTBUF_R15C24.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/LOWER/T2WTBUFTBUF_R9C24.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/F/LOWER/T3XTBUFTBUF_R8C24.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/F/LOWER/T4YTBUFTBUF_R7C24.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/F/LOWER/T5ZTBUFTBUF_R8C24.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/F/LOWER/T6[TBUFTBUF_R9C24.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/F/LOWER/T7\TBUFTBUF_R10C24.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/F/LOWER/T8]TBUFTBUF_R12C24.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/F/LOWER/T9^TBUFTBUF_R12C24.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/UPPER/T0_TBUFTBUF_R16C24.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/UPPER/T1`TBUFTBUF_R16C24.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/UPPER/T10aTBUFTBUF_R3C24.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/UPPER/T11bTBUFTBUF_R3C24.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/UPPER/T12cTBUFTBUF_R4C24.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/UPPER/T13dTBUFTBUF_R4C24.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/UPPER/T14eTBUFTBUF_R6C24.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/UPPER/T15fTBUFTBUF_R6C24.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/UPPER/T2gTBUFTBUF_R18C24.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/UPPER/T3hTBUFTBUF_R17C24.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/UPPER/T4iTBUFTBUF_R21C24.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/UPPER/T5jTBUFTBUF_R21C24.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/UPPER/T6kTBUFTBUF_R19C24.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/UPPER/T7lTBUFTBUF_R19C24.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/UPPER/T8mTBUFTBUF_R5C24.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/F/UPPER/T9nTBUFTBUF_R5C24.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/ADDRoCLBCLB_R19C13 CLKX:CLK CLKY:CLK DY:F XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I XQMUX:QX YQMUX:QY DX:DIN DIN:C2 G:#LUT:G=((~G4+G2)*G3)*G1 F:#LUT:F=~F2*F1 SRX:SET SRY:RESET0185PCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T0pTBUFTBUF_R22C23.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T1qTBUFTBUF_R22C23.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T10rTBUFTBUF_R14C23.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T11sTBUFTBUF_R14C23.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T12tTBUFTBUF_R11C23.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T13uTBUFTBUF_R11C23.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T14vTBUFTBUF_R15C23.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T15wTBUFTBUF_R15C23.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T2xTBUFTBUF_R9C23.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T3yTBUFTBUF_R8C23.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T4zTBUFTBUF_R7C23.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T5{TBUFTBUF_R8C23.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T6|TBUFTBUF_R9C23.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T7}TBUFTBUF_R10C23.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T8~TBUFTBUF_R12C23.2TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/INITIATOR_CNTL/3/LOWER/T9TBUFTBUF_R12C23.1TBUFATTR:TBUF IATTR:IPCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T0TBUFTBUF_R16C23.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T1TBUFTBUF_R16C23.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T10TBUFTBUF_R3C23.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T11TBUFTBUF_R3C23.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T12TBUFTBUF_R4C23.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T13TBUFTBUF_R4C23.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T14TBUFTBUF_R6C23.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T15TBUFTBUF_R6C23.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T2TBUFTBUF_R18C23.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T3TBUFTBUF_R17C23.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T4TBUFTBUF_R21C23.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T5TBUFTBUF_R21C23.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T6TBUFTBUF_R19C23.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T7TBUFTBUF_R19C23.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T8TBUFTBUF_R5C23.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/3/UPPER/T9TBUFTBUF_R5C23.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1N2609CLBCLB_R21C11 CLKX:CLK XMUX:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H H:#LUT:H=~F*G H0:G H2:F G:#LUT:G=((G4*G1)*G3)+G2 F:#LUT:F=((~F2*F4)+F3)+~F1 SRX:RESET*015PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/Q0CLBCLB_R20C10 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:F G:#LUT:G=((G3*G2)*~G4)+((G1*~G4)*~G3) F:#LUT:F=((F3*~F1)*~F4)+((F2*~F4)*~F3) SRX:RESET SRY:RESET0158PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/Q2CLBCLB_R20C11 PCLKX:CLK F4MUX:F4I XQMUX:QX DX:F F:#LUT:F=((F4*F3)*~F1)+((F2*~F1)*~F4) SRX:RESET15PCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/DR_BUSCLBCLB_R20C13 CLKY:CLK DY:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY H1:C1 H:#LUT:H=(G+H1)+F H0:G H2:F G:#LUT:G=(G1*~G4)*~(~G3*G2) F:#LUT:F=(F1*F2)*~(~F3*F4) SRY:RESET*018PCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/EQN-BCLBCLB_R19C12 CLKY:CLK DY:G XMUX:H YMUX:G G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC H1:C3 SR:C2 EC:C4 H:#LUT:H=~F*~H1*G H0:SR H2:F G:#LUT:G=(G4*~G1)*~G2 F:#LUT:F=~F2+((F2*F3)*F4*~F1) SRY:RESET * 0 1 8PCI_CORE/PCI_LC/M_ENABLECLBCLB_R9C22 CLKX:CLK ECX:EC CLKY:CLK DY:G XMUX:F YMUX:H XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C1 DIN:C2 SR:C3 EC:C4 H:#LUT:H=(~F+~G)*H1 H0:SR H2:F G:#LUT:G=G4 F:#LUT:F=F3 SRX:RESET SRY:RESET *0158PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TOCLBCLB_R21C12 GXMUX:F YMUX:H H1:C3 SR:C2 H:#LUT:H=(H1*~G)*~F H0:SR H2:F F:#LUT:F=F1*F2*1PCI_CORE/PCI_LC/INITIATOR_CNTL/FRAME/EQN-ACLBCLB_R21C14 CLKY:CLK DY:DIN XMUX:H G3MUX:G3I G2MUX:G2I YQMUX:QY DIN:C2 H:#LUT:H=~F+G H0:G H2:F G:#LUT:G=~G4*(~G3+~G2)*G1 F:#LUT:F=F3+F1 SRY:SET*018PCI_CORE/PCI_LC/INITIATOR_CNTL/IRDY/EQN-ACLBCLB_R22C14 CLKY:CLK DY:DIN XMUX:H YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY H1:C2 DIN:C2 H:#LUT:H=F*H1 H2:F G:#LUT:G=(G4*~G1)*~G3*~G2 F:#LUT:F=~F4+((F4*F2)*F1*~F3) SRY:RESET*018PCI_CORE/PCI_LC/IRDYQ-CLBCLB_R23C15 gCLKX:CLK CLKY:CLK DY:G G2MUX:G2I XQMUX:QX YQMUX:QY DX:F G:#LUT:G=G2+(G1*G4) F:#LUT:F=F1 SRX:SET SRY:SET0158PCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/I_IDLECLBCLB_R21C13 CLKX:CLK YMUX:G G3MUX:G3I F4MUX:F4I XQMUX:QX DX:H H1:C3 SR:C2 H:#LUT:H=(F*G)+H1 H0:SR H2:F G:#LUT:G=(G1*G3)*~G4 F:#LUT:F=~((F1*F4)*~F2*~F3) SRX:SET *!0"1#5PCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/MDATA_AND_DRBUSCLBCLB_R20C14 ~XMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C4 H:#LUT:H=F+(~G*H1) H0:G H2:F G:#LUT:G=~G4+((G4*G1)*G2*~G3) F:#LUT:F=F3*(F1+(F4*F2))$*%0&1PCI_CORE/PCI_LC/INITIATOR_CNTL/$2N2644/4.0/2.1CLBCLB_R16C19 +XMUX:F F4MUX:F4I F:#LUT:F=~F3*(~F2*~F1)*~F4'1PCI_CORE/PCI_LC/INITIATOR_CNTL/CNT_VAL0CLBCLB_R15C21 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I XQMUX:QX YQMUX:QY DX:F G:#LUT:G=(G4*G1)+(~G1*(~G2@G3)) F:#LUT:F=(F3*F1)+(~F1*~F2) SRX:RESET SRY:RESET(0)1*5+8PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/T4CLBCLB_R16C18 CLKY:CLK DY:H YMUX:G G3MUX:G3I G2MUX:G2I YQMUX:QY H1:C3 SR:C1 H:#LUT:H=(G*H1)+(~H1*F) H0:SR H2:F G:#LUT:G=(~G3*~G1)*~G2*~G4 F:#LUT:F=(~F1*~F3)@F2 SRY:RESET,*-0.1/8PCI_CORE/PCI_LC/INITIATOR_CNTL/CNT_VAL3CLBCLB_R15C19 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:H H1:C1 SR:C2 H:#LUT:H=(G*H1)+(~H1*F) H0:SR H2:F G:#LUT:G=(G2*G1)+(~G1*(G4@G3)) F:#LUT:F=((~F3*~F4)*~F1)@F2 SRX:RESET SRY:RESET0*10213548PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/T7CLBCLB_R19C19 CLKX:CLK YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H H1:C2 SR:C4 H:#LUT:H=(G*H1)+(~H1*F) H0:SR H2:F G:#LUT:G=(G3*~G1)*~G2*~G4 F:#LUT:F=(~F3*F4)@F2 SRX:RESET5*607185PCI_CORE/PCI_LC/INITIATOR_CNTL/CNT_VAL6CLBCLB_R18C19 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:H H1:C2 SR:C4 H:#LUT:H=(G*H1)+(~H1*F) H0:SR H2:F G:#LUT:G=(G3*G1)+(~G1*(G2@G4)) F:#LUT:F=((F4*~F3)*~F1)@F2 SRX:RESET SRY:RESET9*:0;1<5=8PCI_CORE/PCI_LC/INITIATOR_CNTL/M_DATA/MDATACLBCLB_R19C14 CLKX:CLK YMUX:H G3MUX:G3I G2MUX:G2I XQMUX:QX DX:H H1:C1 H:#LUT:H=(G*H1)+F H0:G H2:F G:#LUT:G=~G2+((G2*G3)*G4*~G1) F:#LUT:F=~F2*F3 SRX:RESET>*?0@1A5PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/NSCLBCLB_R23C13 YMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C4 H:#LUT:H=~(F+G)*H1 H0:G H2:F G:#LUT:G=(G3*G1)+((~G2+~G4)*G3) F:#LUT:F=(F4*F3)+((F4*F2)*~F1)B*C0D1PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/OE_LTCLBCLB_R22C11 XMUX:H YMUX:G G3MUX:G3I G2MUX:G2I H1:C3 SR:C2 H:#LUT:H=~((~F*~G)+H1) H0:SR H2:F G:#LUT:G=((G1+G2)*~G3)*G4 F:#LUT:F=~(~F1+(F3*F2))E*F0G1PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/OE_TCLBCLB_R23C12 CLKY:CLK DY:G XMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY H1:C2 SR:C1 H:#LUT:H=~((~F*~G)+H1) H0:SR H2:F G:#LUT:G=(G1*G2)+(G4*G3) F:#LUT:F=~(~F2+(F3*F4)) SRY:RESETH*I0J1K8PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/OE_BCLBCLB_R24C12 CLKX:CLK XMUX:H YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:G H1:C3 SR:C1 H:#LUT:H=~((~F*~G)+H1) H0:SR H2:F G:#LUT:G=~((G2*G3)+(G1*G4)) F:#LUT:F=~(~F3+(F4*F1)) SRX:SETL*M0N1O5PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/START_ADCLBCLB_R24C14 CLKX:CLK XMUX:F YMUX:H G2MUX:G2I F4MUX:F4I XQMUX:QX DX:G H1:C2 SR:C4 H:#LUT:H=~((~G*H1)+F) H0:SR H2:F G:#LUT:G=G2 F:#LUT:F=(F2*F4)*~F1 SRX:SETP*Q0R1S5PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/EOT_DLCLBCLB_R23C11 iCLKX:CLK CLKY:CLK DY:F F4MUX:F4I XQMUX:QX YQMUX:QY DX:DIN DIN:C3 F:#LUT:F=F4+(~F2*F3) SRX:RESET SRY:RESETT1U5V8PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/START_OE_FRAMECLBCLB_R24C13 CLKX:CLK XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:DIN DIN:C4 G:#LUT:G=(~G3+~G1)*G2 F:#LUT:F=(F3*F1)*F2*~F4 SRX:RESETW0X1Y5PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/SLOTCLBCLB_R23C10 ,CLKY:CLK DY:F YQMUX:QY F:#LUT:F=F3 SRY:RESETZ1[8VOY_INST/registers/xfer_padri/input_ml<0>CLBCLB_R12C23 CLKX:CLK ECX:EC CLKY:CLK DY:DIN XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C2 DIN:C4 EC:C3 H:#LUT:H=H1 G:#LUT:G=~(~(G3*G4)*~(~G3*G2)) F:#LUT:F=~(~(F3*F2)*~(~F3*F4)) SRX:RESET SRY:RESET\*]0^1_8`5VOY_INST/registers/xfer_padri/input_ml<2>CLBCLB_R14C23 CLKX:CLK ECX:EC CLKY:CLK DY:DIN XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C2 DIN:C4 EC:C3 H:#LUT:H=H1 G:#LUT:G=~(~(G3*G4)*~(~G3*G2)) F:#LUT:F=~(~(F3*F2)*~(~F3*F1)) SRX:RESET SRY:RESETa*b0c1d8e5VOY_INST/registers/xfer_padri/input_ml<4>CLBCLB_R11C23 CLKX:CLK ECX:EC CLKY:CLK DY:DIN XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C4 DIN:C2 EC:C3 H:#LUT:H=H1 G:#LUT:G=~(~(G3*G2)*~(~G3*G4)) F:#LUT:F=~(~(F2*F4)*~(~F2*F1)) SRX:RESET SRY:RESETf*g0h1i8j5VOY_INST/registers/xfer_padri/input_ml<6>CLBCLB_R15C23 CLKX:CLK ECX:EC CLKY:CLK DY:DIN XMUX:F YMUX:G G3MUX:G3I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C2 DIN:C4 EC:C3 H:#LUT:H=H1 G:#LUT:G=~(~(G3*G4)*~(~G3*G1)) F:#LUT:F=~(~(F1*F2)*~(~F1*F3)) SRX:RESET SRY:RESETk*l0m1n8o5PCI_CORE/PCI_LC/NS_REQ-CLBCLB_R18C13 CLKX:CLK CLKY:CLK DY:G XMUX:H G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:DIN H1:C1 DIN:C4 SR:C4 H:#LUT:H=~(~(H1+G)*F) H0:SR H2:F G:#LUT:G=G2 F:#LUT:F=~F1*F2*F4 SRX:RESET SRY:RESETp*q0r1s5t8PCI_CORE/PCI_LC/INITIATOR_CNTL/IRDY/DONECLBCLB_R18C14 CLKY:CLK DY:H YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY H1:C3 SR:C4 H:#LUT:H=F+(H1*G) H0:SR H2:F G:#LUT:G=~(~G1*(~G2+~G3)*G4) F:#LUT:F=((~F2*~F3)*F1)*~F4 SRY:RESETu*v0w1x8PCI_CORE/PCI_LC/SERRCLBCLB_R23C22 CLKY:CLK DY:H XMUX:H YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY H1:C1 H:#LUT:H=~H1+F H2:F G:#LUT:G=G1*(~G4+~(G2@G3)) F:#LUT:F=~(F4*~F3*(F2+F1)) SRY:SETy*z0{1|8PCI_CORE/PCI_LC/S_FIRSTCLBCLB_R26C19 CLKX:CLK CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:G G:#LUT:G=(G2*G3)+(~G4*G1) F:#LUT:F=F4+((F3*F2)*~F1) SRX:RESET SRY:SET}0~185PCI_CORE/PCI_LC/OUT_CE/ZERO_CE_TCLBCLB_R20C15 {CLKY:CLK DY:H XMUX:H YMUX:G YQMUX:QY H1:C1 SR:C3 H:#LUT:H=F+H1+G H0:SR H2:F G:#LUT:G=~G1*G4 F:#LUT:F=(F2*~F3)*~F1 SRY:RESET*018PCI_CORE/PCI_LC/OUT_SEL/OUT_SELCLBCLB_R22C15 CLKX:CLK CLKY:CLK DY:H G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:DIN H1:C3 DIN:C2 H:#LUT:H=F+(G*H1) H0:G H2:F G:#LUT:G=(G3*~G4)*~G2*~G1 F:#LUT:F=(F4*F1)*~F2*~F3 SRX:SET SRY:RESET*0185PCI_CORE/PCI_LC/CE_OKCLBCLB_R22C16 CLKX:CLK ECX:EC XMUX:F YMUX:H G2MUX:G2I F4MUX:F4I XQMUX:QX DX:G H1:C1 EC:C3 H:#LUT:H=F*~H1 H2:F G:#LUT:G=~G4*G2 F:#LUT:F=F1+(~F4*F3) SRX:RESET*015PCI_CORE/PCI_LC/PCI-PAR/PARCLBCLB_R28C22 wCLKX:CLK ECX:EC G2MUX:G2I XQMUX:QX DX:H H1:C2 EC:C4 H:#LUT:H=(G@H1)@F H0:G H2:F G:#LUT:G=G2@G4 F:#LUT:F=F2@F3 SRX:RESET*015PCI_CORE/PCI_LC/ADOUT0CLBCLB_R22C21 CLKX:CLK ECX:EC CLKY:CLK DY:F XMUX:F G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C1 G:#LUT:G=(G2*G4)+(~G4*G1) F:#LUT:F=(F1*F4)+(~F4*F2) SRX:SET SRY:RESET0185PCI_CORE/PCI_LC/ADOUT1CLBCLB_R22C18 CLKX:CLK ECX:EC CLKY:CLK DY:F XMUX:F G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C2 G:#LUT:G=(G2*G4)+(~G4*G1) F:#LUT:F=(F3*F1)+(~F1*F4) SRX:SET SRY:RESET0185PCI_CORE/PCI_LC/ADOUT10CLBCLB_R14C25 CLKX:CLK ECX:EC CLKY:CLK DY:G XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C2 G:#LUT:G=(G2*G3)+(~G3*G4) F:#LUT:F=(F1*F4)+(~F4*F2) SRX:RESET SRY:RESET0158PCI_CORE/PCI_LC/ADOUT12CLBCLB_R11C28 CLKX:CLK ECX:EC CLKY:CLK DY:G XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C1 G:#LUT:G=(G4*G3)+(~G3*G2) F:#LUT:F=(F1*F3)+(~F3*F4) SRX:RESET SRY:RESET0158PCI_CORE/PCI_LC/ADOUT14CLBCLB_R15C28 CLKX:CLK ECX:EC CLKY:CLK DY:G XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C1 G:#LUT:G=(G2*G3)+(~G3*G4) F:#LUT:F=(F1*F3)+(~F3*F2) SRX:RESET SRY:RESET0158PCI_CORE/PCI_LC/ADOUT16CLBCLB_R16C23 CLKX:CLK ECX:EC CLKY:CLK DY:F XMUX:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C1 G:#LUT:G=(G2*G3)+(~G3*G1) F:#LUT:F=(F1*F3)+(~F3*F4) SRX:SET SRY:RESET0185PCI_CORE/PCI_LC/ADOUT17CLBCLB_R17C24 CLKX:CLK ECX:EC CLKY:CLK DY:F XMUX:F G3MUX:G3I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C2 G:#LUT:G=~G1*G3 F:#LUT:F=(F4*F2)+(~F2*F1) SRX:SET SRY:RESET0185PCI_CORE/PCI_LC/ADOUT18CLBCLB_R18C22 CLKX:CLK ECX:EC CLKY:CLK DY:G XMUX:F YMUX:G G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C3 G:#LUT:G=(G1*G2)+(~G2*G4) F:#LUT:F=(F1*F4)+(~F4*F2) SRX:RESET SRY:RESET0158PCI_CORE/PCI_LC/ADOUT2CLBCLB_R9C28 CLKX:CLK ECX:EC CLKY:CLK DY:F XMUX:F YMUX:G G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C1 G:#LUT:G=(G2*G1)+(~G1*G4) F:#LUT:F=(F1*F2)+(~F2*F4) SRX:RESET SRY:RESET0185PCI_CORE/PCI_LC/ADOUT20CLBCLB_R21C22 CLKX:CLK ECX:EC CLKY:CLK DY:G XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C4 G:#LUT:G=(G2*G3)+(~G3*G4) F:#LUT:F=(F1*F3)+(~F3*F2) SRX:RESET SRY:RESET0158PCI_CORE/PCI_LC/ADOUT22CLBCLB_R19C24 CLKX:CLK ECX:EC CLKY:CLK DY:G XMUX:F YMUX:G G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C2 G:#LUT:G=(G2*G1)+(~G1*G4) F:#LUT:F=(F4*F1)+(~F1*F2) SRX:RESET SRY:RESET0158PCI_CORE/PCI_LC/ADOUT24CLBCLB_R5C23 CLKX:CLK ECX:EC CLKY:CLK DY:G XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C2 G:#LUT:G=(G1*G3)+(~G3*G2) F:#LUT:F=(F2*F3)+(~F3*F4) SRX:RESET SRY:RESET0158PCI_CORE/PCI_LC/ADOUT26CLBCLB_R3C23 CLKX:CLK ECX:EC CLKY:CLK DY:G XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C3 G:#LUT:G=(G4*G3)+(~G3*G2) F:#LUT:F=(F1*F3)+(~F3*F4) SRX:RESET SRY:RESET0158PCI_CORE/PCI_LC/ADOUT28CLBCLB_R4C25 CLKX:CLK ECX:EC CLKY:CLK DY:G XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C4 G:#LUT:G=(G2*G3)+(~G3*G4) F:#LUT:F=(F4*F3)+(~F3*F2) SRX:RESET SRY:RESET0158PCI_CORE/PCI_LC/ADOUT30CLBCLB_R6C24 CLKX:CLK ECX:EC CLKY:CLK DY:F XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C3 G:#LUT:G=(G1*G3)+(~G3*G2) F:#LUT:F=(F1*F3)+(~F3*F4) SRX:RESET SRY:RESET0185PCI_CORE/PCI_LC/ADOUT4CLBCLB_R8C27 CLKX:CLK ECX:EC CLKY:CLK DY:F XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C3 G:#LUT:G=(G2*G3)+(~G3*G4) F:#LUT:F=(F1*F2)+(~F2*F4) SRX:RESET SRY:RESET0185PCI_CORE/PCI_LC/ADOUT6CLBCLB_R10C27 CLKX:CLK ECX:EC CLKY:CLK DY:F XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C3 G:#LUT:G=(G2*G3)+(~G3*G4) F:#LUT:F=(F4*F2)+(~F2*F1) SRX:RESET SRY:RESET0185PCI_CORE/PCI_LC/ADOUT8CLBCLB_R12C26 CLKX:CLK ECX:EC CLKY:CLK DY:G XMUX:F YMUX:G G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C2 G:#LUT:G=(G2*G1)+(~G1*G4) F:#LUT:F=(F4*F1)+(~F1*F2) SRX:RESET SRY:RESET0158PCI_CORE/PCI_LC/PCI-CNTL/EN_CFGQCLBCLB_R25C22 sCLKX:CLK CLKY:CLK DY:F G3MUX:G3I F4MUX:F4I XQMUX:QX YQMUX:QY DX:G G:#LUT:G=G4*G3 F:#LUT:F=F3*F4 SRX:RESET SRY:RESET0185PCI_CORE/PCI_LC/CE1_0CLBCLB_R20C23 [XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=(G3*~G4)*G1*G2 F:#LUT:F=(F4*~F3)*F1*F201PCI_CORE/PCI_LC/CE1_2CLBCLB_R19C23 [XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=(G3*~G4)*G2*G1 F:#LUT:F=(F3*~F1)*F2*F401PCI_CORE/PCI_LC/CE15_0CLBCLB_R20C24 [XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=(G4*~G1)*G3*G2 F:#LUT:F=(F2*~F1)*F3*F401PCI_CORE/PCI_LC/CE4_1CLBCLB_R18C24 [XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=(G2*~G1)*G3*G4 F:#LUT:F=(F2*~F1)*F3*F401PCI_CORE/PCI_LC/CE4_2CLBCLB_R21C23 CLKY:CLK DY:G XMUX:F G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC EC:C1 G:#LUT:G=(G3*~G1)*G4*G2 F:#LUT:F=(F3*~F1)*F2*F4 SRY:RESET018PCI_CORE/PCI_LC/BAR0/$1N3071CLBCLB_R23C24 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C1 DIN:C4 EC:C2 H:#LUT:H=H1 G:#LUT:G=(~G1*~G2)*~G4*~G3 F:#LUT:F=F1+F4 SRX:RESET SRY:RESET*0158PCI_CORE/PCI_LC/PCI-ROM/SEL2CLBCLB_R16C25 CLKX:CLK ECX:EC CLKY:CLK DY:DIN XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C1 DIN:C2 EC:C3 H:#LUT:H=H1 G:#LUT:G=(~G1*~G3)*~G2*~G4 F:#LUT:F=(F1*~F3)*F2*F4 SRX:RESET SRY:RESET*0185PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/XCLBCLB_R17C26 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:F F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C4 DIN:C3 EC:C2 H:#LUT:H=H1 F:#LUT:F=((((F3*F2)*F4*~F1)+((F3*F2)*~F4*~F1))+((F3*~F2)*F4*~F1)+((F3*~F2)*~F4*F1)+((F3*~F2)*~F4*~F1))+(((~F3*F2)*F4*F1)+((~F3*F2)*F4*~F1))+((~F3*F2)*~F4*F1) SRX:RESET SRY:RESET*158PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/FORCE0/2.0CLBCLB_R23C25 CLKX:CLK ECX:EC CLKY:CLK DY:DIN XMUX:F F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C3 DIN:C4 EC:C2 H:#LUT:H=H1 F:#LUT:F=(~F2*~F4)*(F3+F1) SRX:RESET SRY:RESET*185ADDR<8>CLBCLB_R17C25 pCLKX:CLK ECX:EC CLKY:CLK DY:H XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C3 DIN:C4 EC:C2 H:#LUT:H=H1 SRX:RESET SRY:RESET*58PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/AD7610-0000CLBCLB_R21C25 CLKY:CLK DY:H XMUX:F G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC EC:C2 H:#LUT:H=F*G H0:G H2:F G:#LUT:G=(~G2*~G4)*~G1*~G3 F:#LUT:F=(~F3*~F4)*~F2*~F1 SRY:RESET*018PCI_CORE/PCI_LC/PCI-CNTL/LADX1CLBCLB_R22C26 jCLKX:CLK ECX:EC F4MUX:F4I XQMUX:QX DX:H H1:C1 EC:C3 H:#LUT:H=H1*F H2:F F:#LUT:F=(~F4*~F3)*~F2*F1 SRX:RESET*15PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/$2N282CLBCLB_R21C26 CLKY:CLK DY:H YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC H1:C1 EC:C2 H:#LUT:H=H1*F H2:F G:#LUT:G=(G2+G3)+G4+G1 F:#LUT:F=(F4*~F3)*F2*F1 SRY:RESET*018PCI_CORE/PCI_LC/PCI-CNTL/LADX13CLBCLB_R22C27 hCLKY:CLK DY:H F4MUX:F4I YQMUX:QY ECY:EC H1:C1 EC:C2 H:#LUT:H=H1*F H2:F F:#LUT:F=(F4*F3)*~F2*F1 SRY:RESET * 1 8PCI_CORE/PCI_LC/PCI-CNTL/LADX15CLBCLB_R20C26 gCLKY:CLK DY:H F4MUX:F4I YQMUX:QY ECY:EC H1:C4 EC:C1 H:#LUT:H=H1*F H2:F F:#LUT:F=(F1*F3)*F4*F2 SRY:RESET * 18PCI_CORE/PCI_LC/PCI-CNTL/LADX2CLBCLB_R20C25 jCLKX:CLK ECX:EC F4MUX:F4I XQMUX:QX DX:H H1:C2 EC:C1 H:#LUT:H=H1*F H2:F F:#LUT:F=(~F3*~F1)*F4*~F2 SRX:RESET*15PCI_CORE/PCI_LC/PCI-CNTL/LADX3CLBCLB_R19C26 iCLKX:CLK ECX:EC F4MUX:F4I XQMUX:QX DX:H H1:C2 EC:C1 H:#LUT:H=H1*F H2:F F:#LUT:F=(~F1*~F4)*F2*F3 SRX:RESET*15PCI_CORE/PCI_LC/PCI-CNTL/LADX4CLBCLB_R18C26 jCLKX:CLK ECX:EC F4MUX:F4I XQMUX:QX DX:H H1:C2 EC:C1 H:#LUT:H=H1*F H2:F F:#LUT:F=(~F1*F3)*~F2*~F4 SRX:RESET*15PCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/CFG_OKCLBCLB_R23C23 {XMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H:#LUT:H=F*G H0:G H2:F G:#LUT:G=((G2*~G1)*G4*~G3)+((G2*~G1)*G4*G3) F:#LUT:F=F1*~F4*~F3*01S_CBE<0>CLBCLB_R25C20 oCLKY:CLK DY:F XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I YQMUX:QY ECY:EC EC:C3 G:#LUT:G=G1+(G2*G3) F:#LUT:F=F3 SRY:RESET018PCI_CMD<1>CLBCLB_R24C23 CLKX:CLK ECX:EC CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C2 G:#LUT:G=(G4*~G1)*G3*~G2 F:#LUT:F=(~F4*~F1)*~F3*F2 SRX:RESET SRY:RESET01 8!5PCI_CORE/PCI_LC/PCI-CNTL/ENDCLBCLB_R25C25 zCLKX:CLK XMUX:F G3MUX:G3I G2MUX:G2I XQMUX:QX DX:H H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~((G2*G4)*G3)*G1 F:#LUT:F=~F3*F1 SRX:SET"*#0$1%5PCI_CORE/PCI_LC/OE_XCLBCLB_R23C26 BCLKX:CLK F4MUX:F4I XQMUX:QX DX:F F:#LUT:F=F1+(~(F3*F4)*F2) SRX:SET&1'5PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE1/OECLBCLB_R24C25 CLKY:CLK DY:H YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY H1:C1 SR:C3 H:#LUT:H=H1+(~F*G) H0:SR H2:F G:#LUT:G=((G4*G3)+G1)*G2 F:#LUT:F=(F4*F3)*F2 SRY:SET(*)0*1+8PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE15/OECLBCLB_R24C24 \CLKX:CLK XQMUX:QX DX:H H1:C3 SR:C2 H:#LUT:H=H1+(~F*G) H0:SR H2:F F:#LUT:F=(F1*F3)*F2 SRX:SET,*-1.5PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE3/OECLBCLB_R25C23 fCLKX:CLK F4MUX:F4I XQMUX:QX DX:H H1:C4 SR:C2 H:#LUT:H=H1+(~F*G) H0:SR H2:F F:#LUT:F=(F1*F3)*F4 SRX:SET/*0115PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE4/OECLBCLB_R24C26 fCLKY:CLK DY:H F4MUX:F4I YQMUX:QY H1:C1 SR:C4 H:#LUT:H=H1+(~F*G) H0:SR H2:F F:#LUT:F=(F2*F4)*F1 SRY:SET2*3148PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/HITCLBCLB_R24C19 5XMUX:F YMUX:G G3MUX:G3I G:#LUT:G=G3+G4 F:#LUT:F=F3+F25061PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/SWAN1CLBCLB_R26C14 CLKY:CLK DY:DIN XMUX:H YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY H1:C1 DIN:C3 SR:C2 H:#LUT:H=(~H1*G)+F H0:SR H2:F G:#LUT:G=G2+(G4*G3) F:#LUT:F=(~F2+(F4*F3))*F1 SRY:RESET7*8091:8PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/EQN-ACLBCLB_R25C15 \XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=(G3*G2)*(~G4+~G1) F:#LUT:F=(~F4+~F1)*F2;0<1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/EARLYCLBCLB_R28C14 YMUX:H H1:C3 H:#LUT:H=~(H1)=*PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/SUB_DATA1CLBCLB_R26C15 mCLKY:CLK DY:DIN XMUX:H G2MUX:G2I YQMUX:QY DIN:C2 H:#LUT:H=F*G H0:G H2:F G:#LUT:G=G2 F:#LUT:F=~F2*F1 SRY:RESET>*?0@1A8PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/PRE_DATACLBCLB_R24C18 pXMUX:H G2MUX:G2I F4MUX:F4I H1:C2 H:#LUT:H=F*(H1+G) H0:G H2:F G:#LUT:G=G4*(~G1+G2) F:#LUT:F=(F2+(~F2*F1))+(F4*F3)B*C0D1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/IDATA_WINCLBCLB_R26C17 yCLKX:CLK XMUX:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:G G:#LUT:G=~(G2+G4)*(~G3+G1) F:#LUT:F=(F4*F3)*~F2*~F1 SRX:RESETE0F1G5PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/HOLD_TRDYCLBCLB_R28C16 ACLKY:CLK DY:F YMUX:G YQMUX:QY G:#LUT:G=~G4+G1 F:#LUT:F=F1 SRY:SETH0I1J8PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/DATACLBCLB_R27C18 CLKY:CLK DY:DIN XMUX:F YMUX:H G3MUX:G3I G2MUX:G2I YQMUX:QY H1:C1 DIN:C4 H:#LUT:H=(H1*G)*F H0:G H2:F G:#LUT:G=(((G4*~G3)*~G1*~G2)+(~G2*G1))+((G2*G3)*G1) F:#LUT:F=F3+(~F3*F1) SRY:RESETK*L0M1N8PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/BUSYCLBCLB_R25C18 MXMUX:H G2MUX:G2I H:#LUT:H=F+G H0:G H2:F G:#LUT:G=(~G1+(G2*G1))*G4 F:#LUT:F=F2O*P0Q1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/$3N857CLBCLB_R27C19 ]CLKX:CLK XMUX:F G2MUX:G2I F4MUX:F4I XQMUX:QX DX:G G:#LUT:G=~G2+~G4 F:#LUT:F=~F4*~F2 SRX:RESETR0S1T5PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/SWAN0CLBCLB_R28C18 VXMUX:H F4MUX:F4I H1:C2 SR:C3 H:#LUT:H=F+(~H1*G) H0:SR H2:F F:#LUT:F=~(F1+((F2*F3)*F4))U*V1PCI_CORE/PCI_LC/OE_TRDYCLBCLB_R27C17 KCLKX:CLK XMUX:F F4MUX:F4I XQMUX:QX DX:DIN DIN:C1 F:#LUT:F=~(~F1+F4) SRX:SETW1X5PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/SWANCLBCLB_R26C16 CXMUX:F YMUX:H F4MUX:F4I H1:C2 H:#LUT:H=~(~H1+F) H2:F F:#LUT:F=F1*F4Y*Z1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_PERRCLBCLB_R26C21 iCLKX:CLK XMUX:H XQMUX:QX DX:DIN H1:C3 DIN:C1 SR:C2 H:#LUT:H=~((~G+~F)+~H1) H0:SR H2:F F:#LUT:F=F1 SRX:SET[*\1]5PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/TBEGINCLBCLB_R25C17 bXMUX:H G3MUX:G3I G2MUX:G2I H1:C4 H:#LUT:H=(F+G)*H1 H0:G H2:F G:#LUT:G=(~G2+(G3*G2))*G4 F:#LUT:F=F3^*_0`1PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_BCLBCLB_R26C12 >CLKX:CLK F4MUX:F4I XQMUX:QX DX:F F:#LUT:F=~(~F2+F1)+F4 SRX:SETa1b5PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_LBCLBCLB_R26C11 >CLKY:CLK DY:F F4MUX:F4I YQMUX:QY F:#LUT:F=~(~F2+F3)+F4 SRY:SETc1d8PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_LTCLBCLB_R25C11 4CLKX:CLK XQMUX:QX DX:F F:#LUT:F=~(~F1+F3)+F2 SRX:SETe1f5PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_TCLBCLB_R25C12 4CLKX:CLK XQMUX:QX DX:F F:#LUT:F=~(~F1+F3)+F2 SRX:SETg1h5PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_PERR_INCLBCLB_R24C21 CLKX:CLK YMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H H1:C3 H:#LUT:H=~(~H1*(F+G)) H0:G H2:F G:#LUT:G=(G3*G1)*G4*~G2 F:#LUT:F=(F3*F1)*~F2*~F4 SRX:SETi*j0k1l5PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_TRDY_INCLBCLB_R27C16 CLKY:CLK DY:H YMUX:H G3MUX:G3I F4MUX:F4I YQMUX:QY H1:C4 H:#LUT:H=~((G+F)+H1) H0:G H2:F G:#LUT:G=(G1*~G3)*~G4 F:#LUT:F=(~F2+~F1)+~F4 SRY:SETm*n0o1p8PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/DATA_NS_BKOFCLBCLB_R27C14 pXMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C4 H:#LUT:H=F+(G*H1) H0:G H2:F G:#LUT:G=(~G4+G3)*~G2*~G1 F:#LUT:F=~F2*F4q*r0s1PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/BKOF_HITCLBCLB_R24C17 [XMUX:H YMUX:G G2MUX:G2I F4MUX:F4I H:#LUT:H=F H2:F G:#LUT:G=G2+(~G2*G4) F:#LUT:F=(F2*F1)*~F4t*u0v1PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/BUSY_OR_IDLECLBCLB_R25C13 MXMUX:H G3MUX:G3I H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~G1*G3 F:#LUT:F=(~F3+~F1)*F2w*x0y1PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/BKOFCLBCLB_R26C13 >CLKX:CLK F4MUX:F4I XQMUX:QX DX:F F:#LUT:F=F2+(F3*F4) SRX:RESETz1{5PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BUSY/BUSY1CLBCLB_R26C20 GXMUX:F YMUX:G G2MUX:G2I F4MUX:F4I G:#LUT:G=(~G2+~G1)*G4 F:#LUT:F=~F1*F4|0}1PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BUSY/BUSYCLBCLB_R25C19 CLKY:CLK DY:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(G3+G4)*G2 F:#LUT:F=~(F2+F4)*F3 SRY:RESET~*018PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/DATA_NS_DATACLBCLB_R26C18 XMUX:H YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C4 H:#LUT:H=F*H1 H2:F G:#LUT:G=(G3*~G1)*~G2 F:#LUT:F=(((F1*~F3)*~F4*~F2)+(~F2*F4))+((F2*F3)*F4)*01VOY_INST/registers/n1407CLBCLB_R21C16 nCLKX:CLK XMUX:F YMUX:G F4MUX:F4I XQMUX:QX DX:DIN DIN:C2 G:#LUT:G=~(G1*~G4) F:#LUT:F=~((F2*F4)*F1*F3) SRX:RESET015PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/DATACLBCLB_R24C16 CLKY:CLK DY:H YMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY H1:C2 H:#LUT:H=(F+G)+H1 H0:G H2:F G:#LUT:G=(G4*G2)*(~G3+(G1*G3)) F:#LUT:F=F4*F2 SRY:RESET*018PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-IDLE/TNARCLBCLB_R27C13 eYMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H:#LUT:H=F+G H0:G H2:F G:#LUT:G=((~G3+~G2)*G1)*G4 F:#LUT:F=F3*F4*01PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-IDLE/IDLECLBCLB_R25C14 wCLKX:CLK G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H H1:C1 H:#LUT:H=(H1+G)+F H0:G H2:F G:#LUT:G=G1*G2 F:#LUT:F=(F3*F2)*F4 SRX:SET*015STATUS<1>CLBCLB_R22C22 pCLKX:CLK ECX:EC CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C2 DIN:C4 EC:C1 H:#LUT:H=H1 SRX:RESET SRY:RESET*85PCI_CORE/PCI_LC/SERR_ENCLBCLB_R19C22 CLKX:CLK CLKY:CLK DY:DIN YMUX:G G3MUX:G3I XQMUX:QX YQMUX:QY ECY:EC DX:F DIN:C3 EC:C2 G:#LUT:G=G3 F:#LUT:F=((~F2*F1)*F3)+(~F3*F1) SRX:RESET SRY:RESET0185STATUS<29>CLBCLB_R4C23 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:F G:#LUT:G=G2+((~G4*G3)*G1)+(~G1*G3) F:#LUT:F=F3+((~F2*F1)*F4)+(~F4*F1) SRX:RESET SRY:RESET0185STATUS<31> CLBCLB_R6C22 CLKX:CLK CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:G G:#LUT:G=~G3+((~G2*G4)*G1)+(~G1*G4) F:#LUT:F=~F3+((~F4*F1)*F2)+(~F2*F1) SRX:RESET SRY:RESET0158STATUS<24> CLBCLB_R4C24 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:F G:#LUT:G=((~G3*G4)*G2)+(~G2*G4) F:#LUT:F=F4+((~F1*F2)*F3)+(~F3*F2) SRX:RESET SRY:RESET0158PCI_CORE/PCI_LC/IREG1 CLBCLB_R22C24 lCLKX:CLK ECX:EC CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C2 DIN:C4 EC:C1 H:#LUT:H=H1 SRX:SET SRY:SET*85VOY_INST/registers/xfer_padri/count0/ORL3_OUT CLBCLB_R7C24 CLKX:CLK ECX:EC CLKY:CLK DY:DIN XMUX:H G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G H1:C3 DIN:C2 SR:C1 EC:C4 H:#LUT:H=(F*G)+(H1*~G) H0:SR H2:F G:#LUT:G=G2 F:#LUT:F=~(~(F2*F3)*~(~F2*F4)) SRX:SET SRY:SET*0185VOY_INST/registers/xfer_padri/input_ll<2> CLBCLB_R9C24 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C4 DIN:C2 EC:C1 H:#LUT:H=H1 G:#LUT:G=~(~(G2*G4)*~(G1*G3)) F:#LUT:F=~(~(F3*F4)*~(~F3*F1)) SRX:SET SRY:SET*0158VOY_INST/registers/xfer_padri/count0/ORL5_OUTCLBCLB_R10C24 CLKX:CLK ECX:EC CLKY:CLK DY:G YMUX:H F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C3 DIN:C4 SR:C1 EC:C2 H:#LUT:H=(F*G)+(H1*~G) H0:SR H2:F G:#LUT:G=G4 F:#LUT:F=~(~(F3*F4)*~(~F3*F1)) SRX:SET SRY:SET*0158PCI_CORE/PCI_LC/PCI-PAR/DOQ0CLBCLB_R20C21 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C2 DIN:C1 H:#LUT:H=H1 SRX:RESET SRY:RESET*58PCI_CORE/PCI_LC/PCI-PAR/DOQ10CLBCLB_R14C26 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C1 DIN:C2 H:#LUT:H=H1 SRX:RESET SRY:RESET*58PCI_CORE/PCI_LC/PCI-PAR/DOQ12CLBCLB_R12C28 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C3 DIN:C4 H:#LUT:H=H1 SRX:RESET SRY:RESET*58PCI_CORE/PCI_LC/PCI-PAR/DOQ14CLBCLB_R13C28 \CLKX:CLK CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY DX:H H1:C2 DIN:C1 H:#LUT:H=H1 SRX:RESET SRY:RESET*85PCI_CORE/PCI_LC/PCI-PAR/DOQ2CLBCLB_R10C28 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C3 DIN:C4 H:#LUT:H=H1 SRX:RESET SRY:RESET*58PCI_CORE/PCI_LC/PCI-PAR/DOQ4CLBCLB_R9C26 \CLKX:CLK CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY DX:H H1:C4 DIN:C3 H:#LUT:H=H1 SRX:RESET SRY:RESET*85PCI_CORE/PCI_LC/PCI-PAR/DOQ6CLBCLB_R10C25 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C4 DIN:C2 H:#LUT:H=H1 SRX:RESET SRY:RESET*58PCI_CORE/PCI_LC/PCI-PAR/DOQ8CLBCLB_R13C26 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C4 DIN:C1 H:#LUT:H=H1 SRX:RESET SRY:RESET*58PCI_CORE/PCI_LC/PCI-PAR/DOQ16CLBCLB_R17C22 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C2 DIN:C3 H:#LUT:H=H1 SRX:RESET SRY:RESET*58PCI_CORE/PCI_LC/PCI-PAR/DOQ26CLBCLB_R3C24 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C1 DIN:C2 H:#LUT:H=H1 SRX:RESET SRY:RESET*58PCI_CORE/PCI_LC/PCI-PAR/DOQ28CLBCLB_R4C26 \CLKX:CLK CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY DX:H H1:C1 DIN:C2 H:#LUT:H=H1 SRX:RESET SRY:RESET*85PCI_CORE/PCI_LC/PCI-PAR/DOQ30CLBCLB_R6C25 \CLKX:CLK CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY DX:H H1:C4 DIN:C2 H:#LUT:H=H1 SRX:RESET SRY:RESET*85PCI_CORE/PCI_LC/PCI-PAR/DOQ18CLBCLB_R18C23 \CLKX:CLK CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY DX:H H1:C1 DIN:C2 H:#LUT:H=H1 SRX:RESET SRY:RESET*85PCI_CORE/PCI_LC/PCI-PAR/DOQ20CLBCLB_R20C22 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C2 DIN:C1 H:#LUT:H=H1 SRX:RESET SRY:RESET*58PCI_CORE/PCI_LC/PCI-PAR/DOQ22CLBCLB_R18C25 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C2 DIN:C1 H:#LUT:H=H1 SRX:RESET SRY:RESET*58PCI_CORE/PCI_LC/PCI-PAR/DOQ24CLBCLB_R5C24 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C1 DIN:C2 H:#LUT:H=H1 SRX:RESET SRY:RESET*58PCI_CORE/PCI_LC/PERR_ENCLBCLB_R21C21 CXMUX:F YMUX:H H1:C1 SR:C2 H:#LUT:H=(G*F)*~H1 H0:SR H2:F F:#LUT:F=F3*1PCI_CORE/PCI_LC/PCI-PAR/$2N2767 CLBCLB_R22C17 )CLKY:CLK DY:DIN YQMUX:QY DIN:C4 SRY:RESET8PCI_CORE/PCI_LC/ADDR_VLD1!CLBCLB_R25C21 ^CLKY:CLK DY:F XMUX:F YMUX:G G2MUX:G2I YQMUX:QY G:#LUT:G=G2*(~G4+~G1) F:#LUT:F=~F1*F3 SRY:RESET018PCI_CORE/PCI_LC/PCI-PAR/PERR"CLBCLB_R22C23 CLKX:CLK XMUX:H YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H H1:C2 H:#LUT:H=~((G@H1)*F) H0:G H2:F G:#LUT:G=(G4@G1)@G2@G3 F:#LUT:F=(F1+F3)+F4 SRX:SET*015PCI_CORE/PCI_LC/PCI-PAR/P0I#CLBCLB_R22C25 tXMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C1 H:#LUT:H=(G@H1)@F H0:G H2:F G:#LUT:G=(G3@G2)@G4@G1 F:#LUT:F=(F1@F3)@F4@F2*01PCI_CORE/PCI_LC/PCI-PAR/P3I$CLBCLB_R5C28 tXMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C1 H:#LUT:H=(G@H1)@F H0:G H2:F G:#LUT:G=(G1@G2)@G4@G3 F:#LUT:F=(F1@F4)@F3@F2*01PCI_CORE/PCI_LC/PCI-PAR/P0O%CLBCLB_R11C25 iXMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H:#LUT:H=G@F H0:G H2:F G:#LUT:G=(G2@G1)@G3@G4 F:#LUT:F=(F4@F1)@F3@F2*01PCI_CORE/PCI_LC/PCI-PAR/P1O&CLBCLB_R13C27 iYMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H:#LUT:H=G@F H0:G H2:F G:#LUT:G=(G3@G1)@G2@G4 F:#LUT:F=(F1@F4)@F3@F2*01PCI_CORE/PCI_LC/PCI-PAR/P2O'CLBCLB_R17C23 iYMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H:#LUT:H=G@F H0:G H2:F G:#LUT:G=(G3@G4)@G2@G1 F:#LUT:F=(F1@F3)@F4@F2*01PCI_CORE/PCI_LC/PCI-PAR/P3O(CLBCLB_R5C25 iXMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H:#LUT:H=G@F H0:G H2:F G:#LUT:G=(G3@G2)@G4@G1 F:#LUT:F=(F2@F1)@F4@F3*01PCI_CORE/PCI_LC/PCI-PAR/AD_PAR)CLBCLB_R13C25 'XMUX:F F4MUX:F4I F:#LUT:F=(F4@F2)@F3@F11PCI_CORE/PCI_LC/PCI-PAR/P1I*CLBCLB_R16C28 tYMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C1 H:#LUT:H=(G@H1)@F H0:G H2:F G:#LUT:G=(G3@G2)@G1@G4 F:#LUT:F=(F2@F4)@F1@F3*01PCI_CORE/PCI_LC/PCI-PAR/P2I+CLBCLB_R20C28 tXMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C1 H:#LUT:H=(G@H1)@F H0:G H2:F G:#LUT:G=(G4@G1)@G3@G2 F:#LUT:F=(F3@F2)@F1@F4* 0 1PCI_CORE/PCI_LC/MD22,CLBCLB_R19C25 XMUX:F F:#LUT:F=F1+F3 1PCI_CORE/PCI_LC/PCI-ROM/SEL1-CLBCLB_R16C26 JXMUX:F YMUX:H F4MUX:F4I H1:C1 H:#LUT:H=H1+F H2:F F:#LUT:F=(~F3*~F1)*F2*~F4 * 1PCI_CORE/PCI_LC/PERR/OUT.CLBCLB_R28C21 'CLKX:CLK XQMUX:QX DX:DIN DIN:C3 SRX:SET5PCI_CORE/PCI_LC/INITIATOR_CNTL/GNT_D_I-/CLBCLB_R22C13 CLKX:CLK XMUX:F YMUX:H G3MUX:G3I G2MUX:G2I XQMUX:QX DX:H H1:C4 H:#LUT:H=~((~F*H1)+G) H0:G H2:F G:#LUT:G=G4*G3*G2 F:#LUT:F=F1 SRX:SET*015PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/NS0CLBCLB_R27C15 CLKX:CLK CLKY:CLK DY:G YMUX:H G3MUX:G3I F4MUX:F4I XQMUX:QX YQMUX:QY DX:H H1:C1 SR:C2 H:#LUT:H=(F*H1)+(~H1*G) H0:SR H2:F G:#LUT:G=G3 F:#LUT:F=~((F1*F3)*~F2*F4) SRX:SET SRY:SET*0158PCI_CORE/PCI_LC/TRDY-1CLBCLB_R24C15 *CLKY:CLK DY:F YQMUX:QY F:#LUT:F=F3 SRY:SET18PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/NS2CLBCLB_R28C17 CLKX:CLK XMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H H1:C1 H:#LUT:H=(G*H1)+(~H1*F) H0:G H2:F G:#LUT:G=~(~G3*G2) F:#LUT:F=((F4*F1)+(~F2*F4))+(~F2*F3) SRX:SET*015PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/NS3CLBCLB_R28C15 CLKX:CLK YMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H H1:C4 H:#LUT:H=~(~F*(~H1+G)) H0:G H2:F G:#LUT:G=(~(~G3*G2)*G4)+G1 F:#LUT:F=((F1*~F3)*~F2)+(~F4*F1) SRX:SET*0 1!5PCI_CORE/PCI_LC/INITIATOR_CNTL/IRDY/NS4CLBCLB_R23C14 CLKX:CLK YMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H H1:C4 H:#LUT:H=~(~(H1*G)*F) H0:G H2:F G:#LUT:G=((G1+G2)*~G3)*~G4 F:#LUT:F=~(F1*F4)*~(~F3*F1)*F2 SRX:SET"*#0$1%5PCI_CORE/PCI_LC/SERR_OUT5CLBCLB_R27C22 'CLKX:CLK XQMUX:QX DX:DIN DIN:C1 SRX:SET&5PCI_CORE/PCI_LC/SHADOW06CLBCLB_R22C19 pCLKX:CLK ECX:EC CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C4 DIN:C2 EC:C1 H:#LUT:H=H1 SRX:RESET SRY:RESET'*(8)5PCI_CORE/PCI_LC/SHADOW107CLBCLB_R14C24 pCLKX:CLK ECX:EC CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C4 DIN:C2 EC:C1 H:#LUT:H=H1 SRX:RESET SRY:RESET**+8,5PCI_CORE/PCI_LC/SHADOW128CLBCLB_R11C26 pCLKX:CLK ECX:EC CLKY:CLK DY:H XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C2 DIN:C4 EC:C3 H:#LUT:H=H1 SRX:RESET SRY:RESET-*.5/8PCI_CORE/PCI_LC/SHADOW149CLBCLB_R15C26 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:F XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C4 DIN:C2 EC:C1 H:#LUT:H=H1 F:#LUT:F=0 SRX:RESET SRY:RESET0*1528 1PCI_CORE/PCI_LC/SHADOW2:CLBCLB_R9C27 pCLKX:CLK ECX:EC CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C4 DIN:C3 EC:C1 H:#LUT:H=H1 SRX:RESET SRY:RESET3*4855PCI_CORE/PCI_LC/SHADOW4;CLBCLB_R8C26 pCLKX:CLK ECX:EC CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C4 DIN:C1 EC:C2 H:#LUT:H=H1 SRX:RESET SRY:RESET6*7885PCI_CORE/PCI_LC/SHADOW6<CLBCLB_R10C26 pCLKX:CLK ECX:EC CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C1 DIN:C4 EC:C3 H:#LUT:H=H1 SRX:RESET SRY:RESET9*:8;5VOY_INST/registers/controli/n1007=CLBCLB_R12C20 CLKX:CLK ECX:EC CLKY:CLK DY:DIN XMUX:F YMUX:H F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G H1:C1 DIN:C2 SR:C3 EC:C4 H:#LUT:H=(H1+F)+G H0:SR H2:F G:#LUT:G=G4 F:#LUT:F=(F4*~F1)*F2 SRX:RESET SRY:RESET<*=0>1?8@5VOY_INST/registers/xfer_padri/input_mh<1>>CLBCLB_R16C21 CLKX:CLK ECX:EC CLKY:CLK DY:DIN XMUX:F YMUX:G G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C2 DIN:C4 EC:C1 H:#LUT:H=H1 G:#LUT:G=~(~(G2*G4)*~(~G2*G1)) F:#LUT:F=~(~(F4*F2)*~(~F4*F3)) SRX:RESET SRY:RESETA*B0C1D8E5VOY_INST/registers/xfer_padri/input_hh<3>?CLBCLB_R3C21 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:F YMUX:G G3MUX:G3I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C2 DIN:C4 EC:C3 H:#LUT:H=H1 G:#LUT:G=~(~(G3*G4)*~(~G3*G1)) F:#LUT:F=~(~(F3*F2)*~(~F3*F4)) SRX:RESET SRY:RESETF*G0H1I5J8VOY_INST/registers/xfer_padri/input_hh<5>@CLBCLB_R4C21 CLKX:CLK ECX:EC CLKY:CLK DY:DIN XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C4 DIN:C2 EC:C3 H:#LUT:H=H1 G:#LUT:G=~(~(G3*G2)*~(~G3*G4)) F:#LUT:F=~(~(F2*F4)*~(~F2*F1)) SRX:RESET SRY:RESETK*L0M1N8O5VOY_INST/registers/xfer_padri/input_hh<7>ACLBCLB_R6C21 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:F YMUX:G G3MUX:G3I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C2 DIN:C4 EC:C3 H:#LUT:H=H1 G:#LUT:G=~(~(G3*G4)*~(~G3*G1)) F:#LUT:F=~(~(F4*F2)*~(~F4*F1)) SRX:RESET SRY:RESETP*Q0R1S5T8VOY_INST/registers/xfer_padri/input_mh<3>BCLBCLB_R18C21 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C4 DIN:C2 EC:C1 H:#LUT:H=H1 G:#LUT:G=~(~(G4*G2)*~(~G4*G3)) F:#LUT:F=~(~(F3*F4)*~(~F3*F2)) SRX:RESET SRY:RESETU*V0W1X5Y8VOY_INST/registers/xfer_padri/input_mh<5>CCLBCLB_R21C20 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:F YMUX:G G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C4 DIN:C2 EC:C1 H:#LUT:H=H1 G:#LUT:G=~(~(G4*G2)*~(~G4*G1)) F:#LUT:F=~(~(F1*F4)*~(~F1*F2)) SRX:RESET SRY:RESETZ*[0\1]5^8VOY_INST/registers/xfer_padri/input_mh<7>DCLBCLB_R19C21 CLKX:CLK ECX:EC CLKY:CLK DY:DIN XMUX:F YMUX:G G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C4 DIN:C2 EC:C3 H:#LUT:H=H1 G:#LUT:G=~(~(G4*G2)*~(~G4*G1)) F:#LUT:F=~(~(F3*F4)*~(~F3*F1)) SRX:RESET SRY:RESET_*`0a1b8c5VOY_INST/registers/xfer_padri/input_hh<1>ECLBCLB_R5C21 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:F YMUX:G G3MUX:G3I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C2 DIN:C4 EC:C3 H:#LUT:H=H1 G:#LUT:G=~(~(G3*G4)*~(~G3*G1)) F:#LUT:F=~(~(F3*F2)*~(~F3*F4)) SRX:RESET SRY:RESETd*e0f1g5h8PCI_CORE/PCI_LC/M_CBE_INT0FCLBCLB_R17C21 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:H YMUX:G G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C1 H:#LUT:H=F H2:F G:#LUT:G=G2 F:#LUT:F=~F2*F4 SRX:RESET SRY:RESETi*j0k1l8m5M_CBE<1>GCLBCLB_R16C13 CLKX:CLK CLKY:CLK DY:H XMUX:F YMUX:H G2MUX:G2I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C3 H:#LUT:H=F H2:F G:#LUT:G=(G1+G2)*G4 F:#LUT:F=~(F2) SRX:RESET SRY:RESETn*o0p1q8r5PCI_CORE/PCI_LC/SRC_EN/M_ZEROHCLBCLB_R16C14 MXMUX:H YMUX:G F4MUX:F4I H:#LUT:H=G*F H0:G H2:F G:#LUT:G=G1 F:#LUT:F=F1*F4*~F2s*t0u1PCI_CORE/PCI_LC/X/LOWER/T0ITBUFTBUF_R22C26.2TBUFATTR:TBUF IATTR:GNDvPCI_CORE/PCI_LC/X/LOWER/T1JTBUFTBUF_R22C26.1TBUFATTR:TBUF IATTR:GNDwPCI_CORE/PCI_LC/X/LOWER/T10KTBUFTBUF_R14C26.2TBUFATTR:TBUF IATTR:GNDxPCI_CORE/PCI_LC/X/LOWER/T11LTBUFTBUF_R14C26.1TBUFATTR:TBUF IATTR:GNDyPCI_CORE/PCI_LC/X/LOWER/T12MTBUFTBUF_R11C26.1TBUFATTR:TBUF IATTR:GNDzPCI_CORE/PCI_LC/X/LOWER/T13NTBUFTBUF_R11C26.2TBUFATTR:TBUF IATTR:GND{PCI_CORE/PCI_LC/X/LOWER/T14OTBUFTBUF_R15C26.2TBUFATTR:TBUF IATTR:GND|PCI_CORE/PCI_LC/X/LOWER/T15PTBUFTBUF_R15C26.1TBUFATTR:TBUF IATTR:GND}PCI_CORE/PCI_LC/X/LOWER/T2QTBUFTBUF_R9C26.1TBUFATTR:TBUF IATTR:GND~PCI_CORE/PCI_LC/X/LOWER/T3RTBUFTBUF_R8C26.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/LOWER/T4STBUFTBUF_R7C26.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/LOWER/T5TTBUFTBUF_R8C26.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/LOWER/T6UTBUFTBUF_R9C26.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/LOWER/T7VTBUFTBUF_R10C26.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/LOWER/T8WTBUFTBUF_R12C26.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/LOWER/T9XTBUFTBUF_R12C26.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/UPPER/T0YTBUFTBUF_R16C26.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/UPPER/T1ZTBUFTBUF_R16C26.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/UPPER/T10[TBUFTBUF_R3C26.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/UPPER/T11\TBUFTBUF_R3C26.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/UPPER/T12]TBUFTBUF_R4C26.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/UPPER/T13^TBUFTBUF_R4C26.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/UPPER/T14_TBUFTBUF_R6C26.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/UPPER/T15`TBUFTBUF_R6C26.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/UPPER/T2aTBUFTBUF_R18C26.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/UPPER/T3bTBUFTBUF_R17C26.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/UPPER/T4cTBUFTBUF_R21C26.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/UPPER/T5dTBUFTBUF_R21C26.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/UPPER/T6eTBUFTBUF_R19C26.2TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/UPPER/T7fTBUFTBUF_R19C26.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/UPPER/T8gTBUFTBUF_R5C26.1TBUFATTR:TBUF IATTR:GNDPCI_CORE/PCI_LC/X/UPPER/T9hTBUFTBUF_R5C26.2TBUFATTR:TBUF IATTR:GNDPCLKiCLKIOBPAD168CLKINMUX:CLKINPERR_NjIOBPAD128  DOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:SET I1MUX:IQ SLEW:SLOWREQ_NkIOBPAD149+OUTMUX:OQ OMUX:O OKMUX:OK SLEW:SLOW OSR:SETRST_NlIOBPAD113  I1MUX:ISERR_NmIOBPAD127OUTMUX:O OMUX:O TRI:T SLEW:SLOWSTOP_NnIOBPAD141LOUTMUX:O OMUX:O TRI:T IMUX:DELAY IKMUX:IK ISR:SET I2MUX:IQ I1MUX:I SLEW:SLOWTRDY_NoIOBPAD138  'OUTMUX:O OMUX:O TRI:T I2MUX:I SLEW:SLOWVOY_INST/registers/n1389pCLBCLB_R10C18 CLKX:CLK ECX:EC CLKY:CLK DY:DIN XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C4 DIN:C2 EC:C1 H:#LUT:H=H1 G:#LUT:G=((~G2+G4)*(G1+G2))*G3 F:#LUT:F=((~F2+F1)*(F4+F2))*F3 SRX:RESET SRY:RESET*0185VOY_INST/registers/controli/n1000qCLBCLB_R12C15 CLKX:CLK ECX:EC CLKY:CLK DY:G XMUX:F YMUX:H XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C3 DIN:C2 SR:C1 EC:C4 H:#LUT:H=(H1+F)+G H0:SR H2:F G:#LUT:G=G4 F:#LUT:F=(F2*~F3)*F1 SRX:RESET SRY:RESET*0158VOY_INST/registers/controli/n1001rCLBCLB_R11C17 CLKY:CLK DY:H XMUX:F G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC H1:C1 EC:C2 H:#LUT:H=~(~G*~(F*H1)) H0:G H2:F G:#LUT:G=~(~(G2*G4)*((G1+~G3)+G2)) F:#LUT:F=~F4*F1 SRY:RESET*018VOY_INST/registers/controli/n258<12>sCLBCLB_R12C17 CLKY:CLK DY:H YMUX:G G3MUX:G3I F4MUX:F4I YQMUX:QY ECY:EC H1:C4 SR:C1 EC:C3 H:#LUT:H=~(~F*~(G*H1)) H0:SR H2:F G:#LUT:G=~(~(~G4*G1)*(~G4+G3)) F:#LUT:F=~(~(F2*F4)*((F1+~F3)+F2)) SRY:RESET*018VOY_INST/registers/controli/n1008tCLBCLB_R16C16 CLKY:CLK DY:H YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC H1:C2 SR:C3 EC:C4 H:#LUT:H=~(~F*~(G*H1)) H0:SR H2:F G:#LUT:G=~((~G3+G4)*~(~G3*~(G2*G1))) F:#LUT:F=~(~(F2*F3)*((F1+~F4)+F2)) SRY:RESET*018VOY_INST/registers/controli/n258<2>uCLBCLB_R15C17 CLKY:CLK DY:H YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC H1:C2 SR:C1 EC:C3 H:#LUT:H=~(~F*~(G*H1)) H0:SR H2:F G:#LUT:G=~(~(~G1*G2)*(~G1+G3)) F:#LUT:F=~(~(F3*F4)*((F1+~F2)+F3)) SRY:RESET*018VOY_INST/registers/control<16>vCLBCLB_R15C13 CLKX:CLK ECX:EC CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C3 G:#LUT:G=~(((G3+G4)+~G1)*G2) F:#LUT:F=~(((F3+F4)+~F1)*F2) SRX:RESET SRY:RESET0185VOY_INST/transfer/n3280wCLBCLB_R13C10 CLKX:CLK CLKY:CLK DY:G XMUX:F YMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:H H1:C2 SR:C3 H:#LUT:H=H1*G H0:SR G:#LUT:G=((G1+G3)*~(G3*G4))*G2 F:#LUT:F=(F2*F3)*F1*F4 SRX:RESET SRY:RESET*0158VOY_INST/registers/controli/n258<16>xCLBCLB_R9C17 CLKX:CLK ECX:EC YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H H1:C2 SR:C1 EC:C3 H:#LUT:H=~(~F*~(G*H1)) H0:SR H2:F G:#LUT:G=~(~(~G1*G2)*(~G1+G3)) F:#LUT:F=~(~(F3*F4)*((F1+~F2)+F3)) SRX:RESET*015VOY_INST/registers/control<30>yCLBCLB_R6C13 CLKX:CLK ECX:EC G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H EC:C2 H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(~(G1*G4)*~(G2*G3)) F:#LUT:F=(~(~F2*F4)*(~F2+F3))*F1 SRX:RESET*015VOY_INST/transfer/n3129zCLBCLB_R15C14 CLKY:CLK DY:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC EC:C1 G:#LUT:G=~(G3*G2) F:#LUT:F=~(((F1+F3)+~F4)*F2) SRY:RESET018VOY_INST/registers/n1385{CLBCLB_R8C17 CLKX:CLK ECX:EC YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H H1:C2 SR:C1 EC:C3 H:#LUT:H=~(~F*~(G*H1)) H0:SR H2:F G:#LUT:G=~(~G2*~((G4*G1)*G3)) F:#LUT:F=~(~(F4*F2)*((F1+~F3)+F4)) SRX:RESET*015VOY_INST/registers/n1419|CLBCLB_R9C18 CLKY:CLK DY:H YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC H1:C2 SR:C1 EC:C3 H:#LUT:H=~(~F*~(G*H1)) H0:SR H2:F G:#LUT:G=~(~G2*~((G3*G4)*G1)) F:#LUT:F=~(~(F1*F2)*((F4+~F3)+F1)) SRY:RESET*018VOY_INST/registers/n1378}CLBCLB_R10C17 CLKY:CLK DY:H YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC H1:C2 SR:C1 EC:C4 H:#LUT:H=~(~F*~(G*H1)) H0:SR H2:F G:#LUT:G=~(~G2*~((G4*G1)*G3)) F:#LUT:F=~(~(F2*F4)*((F1+~F3)+F2)) SRY:RESET*018VOY_INST/registers/n1386~CLBCLB_R12C16 CLKY:CLK DY:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC EC:C1 G:#LUT:G=~(~G1*~((G3*G4)*G2)) F:#LUT:F=F1+((F2*~F4)*~F3) SRY:RESET018VOY_INST/registers/n1347CLBCLB_R12C18 CLKX:CLK ECX:EC YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:F EC:C4 G:#LUT:G=((~G2+G1)*(G4+G2))*G3 F:#LUT:F=F2+((F1*~F4)*~F3) SRX:RESET015VOY_INST/registers/xfer_leni/n131<0>CLBCLB_R17C16 CLKX:CLK YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H H1:C2 SR:C4 H:#LUT:H=~(~F*~(H1*G)) H0:SR H2:F G:#LUT:G=~(~((G4+G3)*~G1)*G2) F:#LUT:F=(F4*~F1)*F3 SRX:RESET*015VOY_INST/registers/controli/n1006CLBCLB_R6C12 hCLKX:CLK YMUX:G G2MUX:G2I F4MUX:F4I XQMUX:QX DX:F G:#LUT:G=G1*G2 F:#LUT:F=~(~(F3*F2)*~(F1*F4)) SRX:RESET015VOY_INST/registers/controli/lwireCLBCLB_R14C16 CLKX:CLK CLKY:CLK DY:H F4MUX:F4I XQMUX:QX YQMUX:QY DX:DIN DIN:C4 H:#LUT:H=F H2:F F:#LUT:F=~(~(F2*F1)*~(F3*F4)) SRX:RESET SRY:RESET*185VOY_INST/registers/controli/pwireCLBCLB_R13C16 CLKX:CLK CLKY:CLK DY:H F4MUX:F4I XQMUX:QX YQMUX:QY DX:DIN DIN:C4 H:#LUT:H=F H2:F F:#LUT:F=~(~(F4*F2)*~(F3*F1)) SRX:RESET SRY:RESET*185VOY_INST/enaCLBCLB_R6C16 UXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=~(~G2*~((G3*G4)*G1)) F:#LUT:F=F401VOY_INST/registers/controli/n1002CLBCLB_R7C13 6XMUX:F YMUX:H H1:C4 H:#LUT:H=H1+F H2:F F:#LUT:F=~F3*F2*1VOY_INST/registers/ldin_delayed<10>CLBCLB_R26C22 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C1 DIN:C4 H:#LUT:H=H1 SRX:RESET SRY:RESET*58VOY_INST/registers/ldin_delayed<12>CLBCLB_R11C5 \CLKX:CLK CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY DX:H H1:C2 DIN:C1 H:#LUT:H=H1 SRX:RESET SRY:RESET*85VOY_INST/registers/ldin_delayed<14>CLBCLB_R27C12 nCLKX:CLK CLKY:CLK DY:H XMUX:F XQMUX:QX YQMUX:QY DX:DIN H1:C1 DIN:C2 H:#LUT:H=H1 F:#LUT:F=0 SRX:RESET SRY:RESET*58 1VOY_INST/registers/ldin_delayed<16>CLBCLB_R19C10 \CLKX:CLK CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY DX:H H1:C1 DIN:C4 H:#LUT:H=H1 SRX:RESET SRY:RESET*8 5VOY_INST/registers/xfer_leni/n526CLBCLB_R19C18 CLKX:CLK CLKY:CLK DY:H XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:DIN H1:C3 DIN:C4 H:#LUT:H=H1 G:#LUT:G=~(~(G4*G3)*~(G2*G1)) F:#LUT:F=~(~(F4*F1)*~(F3*F2)) SRX:RESET SRY:RESET * 0 1 58VOY_INST/registers/xfer_leni/n523CLBCLB_R21C19 CLKX:CLK CLKY:CLK DY:H XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:DIN H1:C3 DIN:C2 H:#LUT:H=H1 G:#LUT:G=~(~(G2*G4)*~(G3*G1)) F:#LUT:F=~(~(F2*F1)*~(F3*F4)) SRX:RESET SRY:RESET*0158VOY_INST/registers/xfer_leni/n521CLBCLB_R18C16 CLKX:CLK CLKY:CLK DY:H XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:DIN H1:C1 DIN:C2 H:#LUT:H=H1 G:#LUT:G=~(~(G4*G2)*~(G1*G3)) F:#LUT:F=~(~(F4*F2)*~(F1*F3)) SRX:RESET SRY:RESET*0158VOY_INST/registers/ldin_delayed<24>CLBCLB_R2C12 \CLKX:CLK CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY DX:H H1:C1 DIN:C3 H:#LUT:H=H1 SRX:RESET SRY:RESET*85VOY_INST/registers/ldin_delayed<26>CLBCLB_R1C16 \CLKX:CLK CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY DX:H H1:C1 DIN:C4 H:#LUT:H=H1 SRX:RESET SRY:RESET*85VOY_INST/registers/ldin_delayed<28>CLBCLB_R1C21 \CLKX:CLK CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY DX:H H1:C4 DIN:C3 H:#LUT:H=H1 SRX:RESET SRY:RESET* 8!5VOY_INST/registers/xfer_ladri/n287CLBCLB_R8C23 CLKX:CLK CLKY:CLK DY:DIN XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:H H1:C1 DIN:C4 H:#LUT:H=H1 G:#LUT:G=~(~(G4*G2)*~(G1*G3)) F:#LUT:F=~(~(F1*F3)*~(F2*F4)) SRX:RESET SRY:RESET"*#0$1%8&5VOY_INST/registers/ldin_delayed<30>CLBCLB_R3C11 \CLKX:CLK CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY DX:H H1:C1 DIN:C4 H:#LUT:H=H1 SRX:RESET SRY:RESET'*(8)5VOY_INST/registers/xfer_ladri/n286CLBCLB_R7C22 CLKX:CLK CLKY:CLK DY:H XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:DIN H1:C4 DIN:C3 H:#LUT:H=H1 G:#LUT:G=~(~(G2*G4)*~(G3*G1)) F:#LUT:F=~(~(F2*F1)*~(F3*F4)) SRX:RESET SRY:RESET**+0,1-5.8VOY_INST/registers/xfer_ladri/n284CLBCLB_R9C23 CLKX:CLK CLKY:CLK DY:H XMUX:F F4MUX:F4I XQMUX:QX YQMUX:QY DX:DIN H1:C3 DIN:C1 H:#LUT:H=H1 F:#LUT:F=~(~(F1*F4)*~(F2*F3)) SRX:RESET SRY:RESET/*011528VOY_INST/registers/controli/n999CLBCLB_R11C12 |CLKX:CLK CLKY:CLK DY:H XMUX:F F4MUX:F4I XQMUX:QX YQMUX:QY DX:DIN H1:C1 DIN:C2 H:#LUT:H=H1 F:#LUT:F=F2*F4 SRX:RESET SRY:RESET3*415568VOY_INST/registers/U548TBUFTBUF_R10C17.1TBUFATTR:TBUF IATTR:I7VOY_INST/registers/U549TBUFTBUF_R14C17.1TBUFATTR:TBUF IATTR:I8VOY_INST/registers/U550TBUFTBUF_R19C17.2TBUFATTR:TBUF IATTR:I9VOY_INST/registers/U551TBUFTBUF_R18C19.2TBUFATTR:TBUF IATTR:I:VOY_INST/registers/U552TBUFTBUF_R15C16.1TBUFATTR:TBUF IATTR:I;VOY_INST/registers/U553TBUFTBUF_R3C17.1TBUFATTR:TBUF IATTR:I<VOY_INST/registers/U554TBUFTBUF_R8C17.2TBUFATTR:TBUF IATTR:I=VOY_INST/registers/U555TBUFTBUF_R12C16.2TBUFATTR:TBUF IATTR:I>VOY_INST/registers/U556TBUFTBUF_R22C18.1TBUFATTR:TBUF IATTR:GND?VOY_INST/registers/U557TBUFTBUF_R16C17.2TBUFATTR:TBUF IATTR:I@VOY_INST/registers/U558TBUFTBUF_R5C17.1TBUFATTR:TBUF IATTR:IAVOY_INST/registers/U559TBUFTBUF_R6C16.1TBUFATTR:TBUF IATTR:IBVOY_INST/registers/U560TBUFTBUF_R4C19.1TBUFATTR:TBUF IATTR:ICVOY_INST/registers/U561TBUFTBUF_R21C17.2TBUFATTR:TBUF IATTR:IDVOY_INST/registers/U562TBUFTBUF_R11C18.2TBUFATTR:TBUF IATTR:IEVOY_INST/registers/U563TBUFTBUF_R8C17.1TBUFATTR:TBUF IATTR:IFVOY_INST/registers/U564TBUFTBUF_R6C18.2TBUFATTR:TBUF IATTR:IGVOY_INST/registers/U565TBUFTBUF_R4C19.2TBUFATTR:TBUF IATTR:IHVOY_INST/registers/U566TBUFTBUF_R11C18.1TBUFATTR:TBUF IATTR:IIVOY_INST/registers/U567TBUFTBUF_R21C17.1TBUFATTR:TBUF IATTR:IJVOY_INST/registers/U568TBUFTBUF_R7C18.2TBUFATTR:TBUF IATTR:IKVOY_INST/registers/U569TBUFTBUF_R12C19.1TBUFATTR:TBUF IATTR:ILVOY_INST/registers/U570TBUFTBUF_R22C16.2TBUFATTR:TBUF IATTR:GNDMVOY_INST/registers/U571TBUFTBUF_R5C17.2TBUFATTR:TBUF IATTR:INVOY_INST/registers/U572TBUFTBUF_R16C17.1TBUFATTR:TBUF IATTR:IOVOY_INST/registers/U573TBUFTBUF_R15C16.2TBUFATTR:TBUF IATTR:IPVOY_INST/registers/U574TBUFTBUF_R3C18.2TBUFATTR:TBUF IATTR:IQVOY_INST/registers/U575TBUFTBUF_R9C16.1TBUFATTR:TBUF IATTR:IRVOY_INST/registers/U576TBUFTBUF_R9C18.2TBUFATTR:TBUF IATTR:ISVOY_INST/registers/U577TBUFTBUF_R19C17.1TBUFATTR:TBUF IATTR:ITVOY_INST/registers/U578TBUFTBUF_R14C17.2TBUFATTR:TBUF IATTR:IUVOY_INST/registers/U579TBUFTBUF_R17C18.2TBUFATTR:TBUF IATTR:IVVOY_INST/registers/n1414CLBCLB_R14C14 *XMUX:F F4MUX:F4I F:#LUT:F=~((F1*F4)*F3*F2)W1VOY_INST/registers/n1412CLBCLB_R17C14 *XMUX:F F4MUX:F4I F:#LUT:F=~((F4*F1)*F3*F2)X1VOY_INST/registers/n1409CLBCLB_R19C16 *XMUX:F F4MUX:F4I F:#LUT:F=~((F1*F4)*F2*F3)Y1VOY_INST/registers/n1401CLBCLB_R13C14 *XMUX:F F4MUX:F4I F:#LUT:F=~((F1*F2)*F3*F4)Z1VOY_INST/registers/n1349CLBCLB_R12C19 qXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=G1+~((~G2+G4)+~G3) F:#LUT:F=~(((~F4+~F2)+F3)*~((F1*~F4)*F3))[0\1VOY_INST/registers/n1405CLBCLB_R8C13 *XMUX:F F4MUX:F4I F:#LUT:F=~((F3*F2)*F1*F4)]1VOY_INST/registers/n1351CLBCLB_R7C19 eXMUX:F YMUX:G G3MUX:G3I F4MUX:F4I G:#LUT:G=(~G4*(G3+G4))*G1 F:#LUT:F=~(((~F1+~F4)+F3)*~((F2*~F1)*F3))^0_1VOY_INST/registers/n1353CLBCLB_R8C21 XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=~(((~G4+~G3)+G2)*~((G1*~G4)*G2)) F:#LUT:F=~(((~F4+~F2)+F3)*~((F1*~F4)*F3))`0a1VOY_INST/registers/n1355CLBCLB_R5C18 xXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=~(((~G3+~G2)+G1)*~((G4*~G3)*G1)) F:#LUT:F=~((F1+~(F4+F3))+(F3*~F2))b0c1VOY_INST/registers/n1359CLBCLB_R7C17 qXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=~((G3+~(G1+G2))+(G2*~G4)) F:#LUT:F=~((F3+~(F1+F2))+(F2*~F4))d0e1VOY_INST/registers/n1362CLBCLB_R13C17 hXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=(~G3*(G2+G3))*G1 F:#LUT:F=~((F4+~(F3+F1))+(F1*~F2))f0g1VOY_INST/registers/n1361CLBCLB_R8C16 qXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=~((G2+~(G1+G4))+(G4*~G3)) F:#LUT:F=~((F2+~(F1+F4))+(F4*~F3))h0i1VOY_INST/registers/n1364CLBCLB_R17C17 3XMUX:F F4MUX:F4I F:#LUT:F=~((F4+~(F1+F2))+(F2*~F3))j1VOY_INST/registers/n1424CLBCLB_R20C16 *XMUX:F F4MUX:F4I F:#LUT:F=~((F1*F4)*F2*F3)k1VOY_INST/registers/n1403CLBCLB_R18C15 *XMUX:F F4MUX:F4I F:#LUT:F=~((F3*F1)*F4*F2)l1VOY_INST/registers/n1391CLBCLB_R7C12 *XMUX:F F4MUX:F4I F:#LUT:F=~((F4*F2)*F3*F1)m1VOY_INST/registers/n1416CLBCLB_R10C13 *XMUX:F F4MUX:F4I F:#LUT:F=~((F3*F4)*F2*F1)n1VOY_INST/registers/n1418CLBCLB_R11C13 *XMUX:F F4MUX:F4I F:#LUT:F=~((F3*F2)*F4*F1)o1VOY_INST/registers/n1398CLBCLB_R8C12 *XMUX:F F4MUX:F4I F:#LUT:F=~((F1*F2)*F3*F4)p1VOY_INST/registers/n1420CLBCLB_R9C13 *XMUX:F F4MUX:F4I F:#LUT:F=~((F3*F2)*F1*F4)q1VOY_INST/registers/n1379CLBCLB_R10C14 *XMUX:F F4MUX:F4I F:#LUT:F=~((F1*F3)*F2*F4)r1VOY_INST/registers/n1387CLBCLB_R11C14 *XMUX:F F4MUX:F4I F:#LUT:F=~((F1*F2)*F3*F4)s1VOY_INST/registers/n1380CLBCLB_R14C17 ?XMUX:F YMUX:G F4MUX:F4I G:#LUT:G=G1 F:#LUT:F=F4+~((~F1+F3)+~F2)t0u1VOY_INST/registers/n1395CLBCLB_R11C18 gXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=~(~G2*~((G3*G1)*G4)) F:#LUT:F=~(~F1*~((F3*F2)*F4))v0w1VOY_INST/registers/n1383CLBCLB_R15C16 gXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=~(~G1*~((G3*G2)*G4)) F:#LUT:F=~(~F3*~((F1*F2)*F4))x0y1VOY_INST/registers/n1394CLBCLB_R17C18 aXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=G2+((G1*~G4)*~G3) F:#LUT:F=F1+((F2*~F4)*~F3)z0{1VOY_INST/registers/n1402CLBCLB_R21C17 XMUX:F F4MUX:F4I F:#LUT:F=F4|1VOY_INST/registers/n1421CLBCLB_R19C17 %XMUX:F YMUX:G G:#LUT:G=G1 F:#LUT:F=F2}0~1VOY_INST/registers/n1410CLBCLB_R5C17 %XMUX:F YMUX:G G:#LUT:G=G4 F:#LUT:F=F201VOY_INST/registers/n1415CLBCLB_R3C18 XMUX:F F:#LUT:F=F11VOY_INST/registers/n1393CLBCLB_R4C19 /XMUX:F YMUX:G G2MUX:G2I G:#LUT:G=G2 F:#LUT:F=F201VOY_INST/registers/n1397CLBCLB_R6C18 XMUX:F F:#LUT:F=F21VOY_INST/registers/n1396CLBCLB_R7C18 /XMUX:F YMUX:G G2MUX:G2I G:#LUT:G=G2 F:#LUT:F=F301VOY_INST/registers/wep_controlCLBCLB_R23C19 ^CLKY:CLK DY:G XMUX:F G3MUX:G3I G2MUX:G2I YQMUX:QY G:#LUT:G=(G3*G4)*G2 F:#LUT:F=F3*F1 SRY:RESET018VOY_INST/registers/wep_xfer_ladrCLBCLB_R20C19 ?XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I G:#LUT:G=G3*G2 F:#LUT:F=F3*F201BASE_HIT<0>CLBCLB_R24C20 pCLKY:CLK DY:F XMUX:F YMUX:H YQMUX:QY ECY:EC H1:C2 SR:C3 EC:C4 H:#LUT:H=H1*(F+G) H0:SR H2:F F:#LUT:F=F2 SRY:RESET*18VOY_INST/registers/wel_xfer_lenCLBCLB_R7C1 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I F4MUX:F4I XQMUX:QX YQMUX:QY DX:F G:#LUT:G=(G4*~G1)*G3 F:#LUT:F=~((~F4+F3)+F1) SRX:RESET SRY:RESET0158VOY_INST/registers/n1329CLBCLB_R6C1 CLKX:CLK CLKY:CLK DY:G XMUX:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:H H1:C1 SR:C3 H:#LUT:H=(F*~G)*H1 H0:SR H2:F G:#LUT:G=(G1*G2)*G3 F:#LUT:F=(F1*F4)*F2 SRX:RESET SRY:RESET*0158VOY_INST/registers/wep_300CLBCLB_R22C20 CLKX:CLK CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I XQMUX:QX YQMUX:QY DX:G G:#LUT:G=(G1*~G2)*G3 F:#LUT:F=~((~F1+F3)+F2) SRX:RESET SRY:RESET0185VOY_INST/registers/n1330CLBCLB_R23C20 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:F G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:G H1:C4 SR:C3 EC:C2 H:#LUT:H=(F*~G)*H1 H0:SR H2:F G:#LUT:G=G1*G2 F:#LUT:F=(F4*F1)*F2 SRX:RESET SRY:RESET*0185VOY_INST/la<2>CLBCLB_R8C24 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C4 G:#LUT:G=G1+((G4+G3)*G2) F:#LUT:F=F2+((F4+F1)*F3) SRX:RESET SRY:RESET0158VOY_INST/la<12>CLBCLB_R10C22 CLKX:CLK ECX:EC G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H EC:C2 H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(~(G3*G2)*~(G4*G1)) F:#LUT:F=~(~(F2*F1)*~(F4*F3)) SRX:RESET*015VOY_INST/la<13>CLBCLB_R11C21 CLKY:CLK DY:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC EC:C1 H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(~(G3*G4)*~(G1*G2)) F:#LUT:F=~(~(F4*F1)*~(F2*F3)) SRY:RESET*018VOY_INST/la<14>CLBCLB_R15C20 CLKY:CLK DY:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC EC:C4 H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(~(G1*G4)*~(G3*G2)) F:#LUT:F=~(~(F4*F3)*~(F1*F2)) SRY:RESET*018VOY_INST/la<15>CLBCLB_R14C19 CLKY:CLK DY:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC EC:C3 H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(~(G2*G3)*~(G4*G1)) F:#LUT:F=~(~(F2*F1)*~(F4*F3)) SRY:RESET*018VOY_INST/la<4>CLBCLB_R8C22 CLKX:CLK ECX:EC CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C4 G:#LUT:G=G3+((G2+G1)*G4) F:#LUT:F=F4+((F3+F2)*F1) SRX:RESET SRY:RESET0185VOY_INST/la<6>CLBCLB_R10C23 CLKX:CLK ECX:EC CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C1 G:#LUT:G=G3+((G2+G1)*G4) F:#LUT:F=F1+((F3+F4)*F2) SRX:RESET SRY:RESET0185VOY_INST/la<8>CLBCLB_R12C21 CLKY:CLK DY:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC EC:C1 H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(~(G3*G4)*~(G1*G2)) F:#LUT:F=~(~(F2*F3)*~(F1*F4)) SRY:RESET*018VOY_INST/la<9>CLBCLB_R11C22 CLKY:CLK DY:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC EC:C3 H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(~(G1*G4)*~(G2*G3)) F:#LUT:F=~(~(F4*F3)*~(F1*F2)) SRY:RESET*018VOY_INST/la<10>CLBCLB_R14C20 CLKY:CLK DY:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC EC:C4 H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(~(G1*G3)*~(G4*G2)) F:#LUT:F=~(~(F2*F4)*~(F1*F3)) SRY:RESET*018VOY_INST/la<11>CLBCLB_R13C20 CLKY:CLK DY:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC EC:C4 H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(~(G3*G4)*~(G2*G1)) F:#LUT:F=~(~(F2*F4)*~(F3*F1)) SRY:RESET*018VOY_INST/registers/xfer_ladri/ladr_bits129<10>CLBCLB_R9C21 NXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I G:#LUT:G=((G4*G2)*G1)@G3 F:#LUT:F=(F2*F1)@F301VOY_INST/registers/xfer_ladri/ladr_bits129<13>CLBCLB_R11C19 )XMUX:F F4MUX:F4I F:#LUT:F=((F4*F3)*F2)@F11VOY_INST/registers/xfer_ladri/ladr_bits129<2>CLBCLB_R7C23 NXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I G:#LUT:G=((G2*G1)*G3)@G4 F:#LUT:F=(F2*F3)@F101VOY_INST/registers/xfer_ladri/ladr_bits129<4>CLBCLB_R9C25 XXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=((G3*G4)*G1)@G2 F:#LUT:F=(F4*F1)@F201VOY_INST/registers/xfer_ladri/ladr_bits129<6>CLBCLB_R11C24 XXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=((G4*G3)*G1)@G2 F:#LUT:F=(F3*F4)@F101VOY_INST/registers/xfer_ladri/ladr_bits129<8>CLBCLB_R13C18 XXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=((G2*G1)*G4)@G3 F:#LUT:F=(F3*F4)@F201VOY_INST/registers/xfer_ladri/ladr_reg/add_69/n35CLBCLB_R9C19 TXMUX:F YMUX:H F4MUX:F4I H1:C2 SR:C1 H:#LUT:H=(F*G)@H1 H0:SR H2:F F:#LUT:F=(F1*F2)*F4*1VOY_INST/registers/xfer_ladri/ladr_reg/add_69/n32CLBCLB_R10C21 TXMUX:F YMUX:H F4MUX:F4I H1:C3 SR:C1 H:#LUT:H=(G*F)*H1 H0:SR H2:F F:#LUT:F=(F4*F1)*F2*1VOY_INST/registers/xfer_ladri/ladr_reg/add_69/n29CLBCLB_R8C25 TXMUX:F YMUX:H F4MUX:F4I H1:C3 SR:C2 H:#LUT:H=(H1*G)*F H0:SR H2:F F:#LUT:F=(F2*F4)*F1*1VOY_INST/registers/xfer_ladri/n292CLBCLB_R14C18 nXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=~((~G4+G3)*~(~G4*~(G1*~G2))) F:#LUT:F=~((F2+F4)*(~F4+F3))01VOY_INST/registers/xfer_ladri/n111<0>CLBCLB_R10C20 cXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=(G1+(~G4*G3))+G2 F:#LUT:F=~(~((F3+F4)*~F2)*F1)01VOY_INST/registers/xfer_ladri/n281CLBCLB_R11C16 VXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I G:#LUT:G=~((~G2+G4)*~(~G2*~(G1*~G3))) F:#LUT:F=F1*F201VOY_INST/registers/xfer_ladri/n279CLBCLB_R13C19 CLKX:CLK XMUX:F YMUX:H G2MUX:G2I F4MUX:F4I XQMUX:QX DX:G H1:C2 H:#LUT:H=~(H1*~F) H2:F G:#LUT:G=~(G2) F:#LUT:F=~((~F3+F4)+F2) SRX:SET*015VOY_INST/registers/xfer_leni/n530CLBCLB_R15C12 vCLKX:CLK YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:F G:#LUT:G=(G4*G1)*G3*~G2 F:#LUT:F=(~(F1@F2)*~F4)*F3 SRX:SET015VOY_INST/oneCLBCLB_R14C12 CLKX:CLK CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:G G:#LUT:G=G2+~((~G4+G1)+~G3) F:#LUT:F=F4+~((~F2+F3)+~F1) SRX:RESET SRY:RESET0185VOY_INST/registers/xfer_leni/n511CLBCLB_R16C12 CLKX:CLK XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H H1:C3 H:#LUT:H=~(F@H1)*G H0:G H2:F G:#LUT:G=~((G3+G2)+G1+~G4) F:#LUT:F=F4*F2 SRX:RESET*015VOY_INST/len<2>CLBCLB_R18C18 CLKX:CLK ECX:EC CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C1 G:#LUT:G=G2+((G4+G1)*G3) F:#LUT:F=F3+((F4+F1)*F2) SRX:RESET SRY:RESET0185VOY_INST/len<12>CLBCLB_R4C17 CLKY:CLK DY:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC EC:C2 H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(~(G4*G1)*~(G3*G2)) F:#LUT:F=~(~(F2*F3)*~(F1*F4)) SRY:RESET*018VOY_INST/len<13>CLBCLB_R4C18 CLKX:CLK ECX:EC G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H EC:C2 H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(~(G4*G1)*~(G2*G3)) F:#LUT:F=~(~(F2*F3)*~(F1*F4)) SRX:RESET*015VOY_INST/len<14>CLBCLB_R6C17 CLKY:CLK DY:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC EC:C1 H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(~(G1*G3)*~(G2*G4)) F:#LUT:F=~(~(F1*F4)*~(F3*F2)) SRY:RESET*018VOY_INST/len<15>CLBCLB_R6C15 CLKX:CLK ECX:EC G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H EC:C2 H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(~(G4*G1)*~(G2*G3)) F:#LUT:F=~(~(F1*F4)*~(F3*F2)) SRX:RESET*015VOY_INST/len<4>CLBCLB_R21C18 CLKX:CLK ECX:EC CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C1 G:#LUT:G=G3+((G1+G2)*G4) F:#LUT:F=F4+((F1+F3)*F2) SRX:RESET SRY:RESET01 8 5VOY_INST/len<6>CLBCLB_R18C17 CLKX:CLK ECX:EC CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C3 G:#LUT:G=G4+((G3+G2)*G1) F:#LUT:F=F3+((F4+F2)*F1) SRX:RESET SRY:RESET 0 1 85VOY_INST/len<8>CLBCLB_R5C16 CLKY:CLK DY:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC EC:C3 H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(~(G2*G3)*~(G1*G4)) F:#LUT:F=~(~(F1*F3)*~(F2*F4)) SRY:RESET*018VOY_INST/len<9>CLBCLB_R6C14 CLKX:CLK ECX:EC G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H EC:C3 H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(~(G3*G2)*~(G1*G4)) F:#LUT:F=~(~(F3*F4)*~(F2*F1)) SRX:RESET*015VOY_INST/len<10>CLBCLB_R2C17 CLKY:CLK DY:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC EC:C1 H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(~(G3*G4)*~(G2*G1)) F:#LUT:F=~(~(F3*F4)*~(F2*F1)) SRY:RESET*018VOY_INST/len<11>CLBCLB_R3C17 CLKY:CLK DY:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC EC:C1 H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(~(G1*G3)*~(G4*G2)) F:#LUT:F=~(~(F4*F3)*~(F1*F2)) SRY:RESET*018VOY_INST/registers/xfer_leni/len_bits149<0>CLBCLB_R20C17 BXMUX:F YMUX:G G3MUX:G3I F4MUX:F4I G:#LUT:G=~(G3@G4) F:#LUT:F=~(F4)0 1VOY_INST/registers/xfer_leni/len_bits149<13>CLBCLB_R4C16 ]XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=(G3+G2)+G1+G4 F:#LUT:F=~((F2+F3)+~F4)@F1!0"1VOY_INST/registers/xfer_leni/len_bits149<3>CLBCLB_R20C18 PXMUX:F YMUX:G G2MUX:G2I F4MUX:F4I G:#LUT:G=~(G4+G1)@G2 F:#LUT:F=~((F4+F2)+F3)@F1#0$1VOY_INST/registers/xfer_leni/len_bits149<10>CLBCLB_R2C16 KXMUX:F YMUX:G G2MUX:G2I F4MUX:F4I G:#LUT:G=G1@G2 F:#LUT:F=~((F2+F4)+~F1)@F3%0&1VOY_INST/registers/xfer_leni/len_bits149<11>CLBCLB_R3C19 XMUX:F F:#LUT:F=F1@F2'1VOY_INST/registers/xfer_leni/len_bits149<5>CLBCLB_R8C14 KXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I G:#LUT:G=~((G1+G2)+~G3)@G4 F:#LUT:F=F3@F2(0)1VOY_INST/registers/xfer_leni/len_reg/sub_86/n59 CLBCLB_R3C16 \XMUX:F YMUX:H F4MUX:F4I H1:C2 SR:C3 H:#LUT:H=(F*~G)@H1 H0:SR H2:F F:#LUT:F=~((F4+F1)+F2+~F3)**+1VOY_INST/registers/xfer_leni/len_reg/sub_86/n54 CLBCLB_R10C15 OXMUX:F YMUX:H H1:C3 SR:C2 H:#LUT:H=(F*~G)@H1 H0:SR H2:F F:#LUT:F=~((~F2+F1)+F3),*-1VOY_INST/registers/xfer_leni/len_reg/sub_86/n52 CLBCLB_R7C15 \XMUX:F YMUX:H F4MUX:F4I H1:C3 SR:C4 H:#LUT:H=(F*~G)@H1 H0:SR H2:F F:#LUT:F=~((F3+F4)+F1+~F2).*/1VOY_INST/registers/xfer_leni/len_reg/sub_86/n56 CLBCLB_R17C15 NXMUX:F YMUX:H H1:C2 SR:C3 H:#LUT:H=(F*~G)@H1 H0:SR H2:F F:#LUT:F=~((F1+F2)+F3)0*11VOY_INST/registers/xfer_leni/n532 CLBCLB_R7C16 'XMUX:F F4MUX:F4I F:#LUT:F=(F3+F4)+F1+F221VOY_INST/registers/xfer_leni/n531CLBCLB_R14C13 )XMUX:F F4MUX:F4I F:#LUT:F=(F1*F3)*~F4*~F231VOY_INST/registers/xfer_leni/n525CLBCLB_R16C17 TXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I G:#LUT:G=(G4*~G2)*G3 F:#LUT:F=~(~(F1*~F2)*(F3+F1))4051VOY_INST/registers/xfer_leni/n514CLBCLB_R10C16 LXMUX:F YMUX:H F4MUX:F4I H1:C2 H:#LUT:H=~(H1*~F) H2:F F:#LUT:F=~((~F3+F2)+F4)6*71VOY_INST/registers/xfer_leni/n518CLBCLB_R13C15 ZXMUX:F YMUX:H F4MUX:F4I H1:C3 SR:C2 H:#LUT:H=~((F+H1)+G) H0:SR H2:F F:#LUT:F=(F3+F2)+F4+F18*91VOY_INST/registers/xfer_padri/count0/TCANDUP2_OUTCLBCLB_R4C22XMUX:F YMUX:G G3MUX:G3I G2MUX:COUT0 F4MUX:CIN CARRY:INC FCARRY:CARRY GCARRY:CARRY G:#LUT:G=~G3*(G4@G2) F:#LUT:F=~F3*(F1@F4) CINMUX:CIN:(;0<1VOY_INST/registers/xfer_padri/count0/TCANDUP4_OUTCLBCLB_R3C22XMUX:F YMUX:G G3MUX:G3I G2MUX:COUT0 F4MUX:CIN CARRY:INC FCARRY:CARRY GCARRY:CARRY G:#LUT:G=~G3*(G4@G2) F:#LUT:F=~F3*(F1@F4) CINMUX:CIN=(>0?1VOY_INST/registers/xfer_padri/count0/TCANDUP6_OUTCLBCLB_R2C22yXMUX:F YMUX:G G3MUX:G3I G2MUX:COUT0 F4MUX:CIN CARRY:INC FCARRY:CARRY G:#LUT:G=~G3*(G1@G2) F:#LUT:F=~F3*(F1@F4) CINMUX:CIN@(A0B1VOY_INST/registers/xfer_padri/count0/ORL0_OUTCLBCLB_R5C22XMUX:F YMUX:G G3MUX:G3I G2MUX:COUT0 F4MUX:F4I CARRY:INC FCARRY:CARRY GCARRY:CARRY G:#LUT:G=~G3*(G4@G2) F:#LUT:F=(F4*F2)+((~F3*~F1)*~F2) CINMUX:1CD(E0F1VOY_INST/registers/xfer_padri/n418CLBCLB_R7C20 CLKX:CLK ECX:EC CLKY:CLK DY:DIN YMUX:G G3MUX:G3I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C1 DIN:C2 SR:C4 EC:C3 H:#LUT:H=(F*G)+(H1*~G) H0:SR H2:F G:#LUT:G=G3*G1 F:#LUT:F=~(~(F3*F2)*~(~F3*F4)) SRX:RESET SRY:RESETG*H0I1J8K5VOY_INST/registers/xfer_padri/n420CLBCLB_R9C20 CLKX:CLK ECX:EC CLKY:CLK DY:H YMUX:G XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C2 DIN:C3 SR:C4 EC:C1 H:#LUT:H=(F*G)+(H1*~G) H0:SR H2:F G:#LUT:G=~G1*G4 F:#LUT:F=~(~(F1*F2)*~(~F1*F3)) SRX:RESET SRY:RESETL*M0N1O8P5VOY_INST/pa<7>CLBCLB_R8C20 CLKX:CLK ECX:EC CLKY:CLK DY:DIN F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C3 DIN:C1 SR:C2 EC:C4 H:#LUT:H=(F*G)+(H1*~G) H0:SR H2:F F:#LUT:F=~(~(F4*F2)*~(~F4*F3)) SRX:RESET SRY:RESETQ*R1S8T5VOY_INST/registers/xfer_padri/count0/Q_OUT<6>CLBCLB_R2C21 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C3 G:#LUT:G=G4*~G3 F:#LUT:F=F3*~F1 SRX:RESET SRY:RESETU0V1W5X8VOY_INST/registers/xfer_padri/term_llCLBCLB_R7C21 kYMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H:#LUT:H=F*G H0:G H2:F G:#LUT:G=(G2*G1)*~G4*~G3 F:#LUT:F=(F4*F3)*F2*F1Y*Z0[1VOY_INST/pa<11>CLBCLB_R13C22 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I G2MUX:COUT0 F4MUX:CIN CARRY:INC XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C4 FCARRY:CARRY GCARRY:CARRY G:#LUT:G=(G3*G1)+((G4@G2)*~G1) F:#LUT:F=(F3*F2)+((F1@F4)*~F2) CINMUX:CIN SRX:RESET SRY:RESET\(]0^1_8`5VOY_INST/pa<13>CLBCLB_R14C22 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I G2MUX:COUT0 F4MUX:CIN CARRY:INC XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C2 FCARRY:CARRY GCARRY:CARRY G:#LUT:G=(G3*G1)+((G4@G2)*~G1) F:#LUT:F=(F3*F2)+((F1@F4)*~F2) CINMUX:CIN SRX:RESET SRY:RESETa(b0c1d8e5VOY_INST/pa<15>CLBCLB_R15C22 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I G2MUX:COUT0 F4MUX:CIN CARRY:INC XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C4 FCARRY:CARRY GCARRY:CARRY G:#LUT:G=(G3*G1)+((G4@G2)*~G1) F:#LUT:F=(F3*F2)+((F1@F4)*~F2) CINMUX:CIN SRX:RESET SRY:RESETf(g0h1i8j5VOY_INST/registers/xfer_padri/term_mlCLBCLB_R16C225XMUX:F F4MUX:CIN CARRY:EXAM-CI F:#LUT:F=F4 CINMUX:CINk(l1VOY_INST/pa<9>CLBCLB_R12C22 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I G2MUX:COUT0 CARRY:INC XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C2 FCARRY:CARRY GCARRY:CARRY G:#LUT:G=(G3*G1)+((G4@G2)*~G1) F:#LUT:F=(F3*F2)+(~F1*~F2) CINMUX:1 SRX:RESET SRY:RESETmn(o0p1q8r5VOY_INST/registers/xfer_padri/count2/ORL2_OUT CLBCLB_R17C20XMUX:F YMUX:G G3MUX:G3I G2MUX:COUT0 F4MUX:CIN CARRY:INC FCARRY:CARRY GCARRY:CARRY G:#LUT:G=(G3*G1)+((G4@G2)*~G1) F:#LUT:F=(F3*F2)+((F1@F4)*~F2) CINMUX:CINs(t0u1VOY_INST/registers/xfer_padri/count2/ORL4_OUT!CLBCLB_R18C20XMUX:F YMUX:G G3MUX:G3I G2MUX:COUT0 F4MUX:CIN CARRY:INC FCARRY:CARRY GCARRY:CARRY G:#LUT:G=(G3*G1)+((G4@G2)*~G1) F:#LUT:F=(F3*F2)+((F1@F4)*~F2) CINMUX:CINv(w0x1VOY_INST/pa<23>"CLBCLB_R19C20 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I G2MUX:COUT0 F4MUX:CIN CARRY:INC XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C1 FCARRY:CARRY GCARRY:CARRY G:#LUT:G=(G3*G1)+((G4@G2)*~G1) F:#LUT:F=(F3*F2)+((F1@F4)*~F2) CINMUX:CIN SRX:RESET SRY:RESETy(z0{1|8}5VOY_INST/registers/xfer_padri/term_mh#CLBCLB_R20C205XMUX:F F4MUX:CIN CARRY:EXAM-CI F:#LUT:F=F4 CINMUX:CIN~(1VOY_INST/pa<17>$CLBCLB_R16C20 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I G2MUX:COUT0 CARRY:INC XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C1 FCARRY:CARRY GCARRY:CARRY G:#LUT:G=(G3*G1)+((G4@G2)*~G1) F:#LUT:F=(F3*F2)+(~F1*~F2) CINMUX:1 SRX:RESET SRY:RESET(0185VOY_INST/registers/n1357%CLBCLB_R17C19 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:F YMUX:G G3MUX:G3I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C4 DIN:C2 EC:C3 H:#LUT:H=H1 G:#LUT:G=(~G4*(G3+G4))*G1 F:#LUT:F=~((F4+~(F3+F1))+(F1*~F2)) SRX:RESET SRY:RESET*0158VOY_INST/registers/n1360&CLBCLB_R11C20 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:F YMUX:G G2MUX:G2I XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C1 DIN:C2 EC:C3 H:#LUT:H=H1 G:#LUT:G=(~G1*(G4+G1))*G2 F:#LUT:F=(~F1*(F3+F1))*F2 SRX:RESET SRY:RESET*0158VOY_INST/pa<27>'CLBCLB_R3C20 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I G2MUX:COUT0 F4MUX:CIN CARRY:INC XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C1 FCARRY:CARRY GCARRY:CARRY G:#LUT:G=(G3*G1)+((G4@G2)*~G1) F:#LUT:F=(F3*F2)+((F1@F4)*~F2) CINMUX:CIN SRX:RESET SRY:RESET(0185VOY_INST/pa<29>(CLBCLB_R4C20 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I G2MUX:COUT0 F4MUX:CIN CARRY:INC XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C1 FCARRY:CARRY GCARRY:CARRY G:#LUT:G=(G3*G1)+((G4@G2)*~G1) F:#LUT:F=(F3*F2)+((F1@F4)*~F2) CINMUX:CIN SRX:RESET SRY:RESET(0185VOY_INST/pa<31>)CLBCLB_R5C20 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I G2MUX:COUT0 F4MUX:CIN CARRY:INC XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C1 FCARRY:CARRY G:#LUT:G=(G4*G1)+((G3@G2)*~G1) F:#LUT:F=(F3*F2)+((F1@F4)*~F2) CINMUX:CIN SRX:RESET SRY:RESET(0185VOY_INST/pa<25>*CLBCLB_R2C20 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I G2MUX:COUT0 CARRY:INC XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C1 FCARRY:CARRY GCARRY:CARRY G:#LUT:G=(G3*G1)+((G4@G2)*~G1) F:#LUT:F=(F3*F2)+(~F1*~F2) CINMUX:1 SRX:RESET SRY:RESET(0185VOY_INST/registers/xfer_padri/n419+CLBCLB_R10C19 fXMUX:F YMUX:H G3MUX:G3I G2MUX:G2I H:#LUT:H=F+G H0:G H2:F G:#LUT:G=~(~(G1*G2)*~(~G4*G3)) F:#LUT:F=F1*F3*01VOY_INST/registers/xfer_padri/enable_mh,CLBCLB_R14C21 HXMUX:H F4MUX:F4I H1:C4 H:#LUT:H=H1+F H2:F F:#LUT:F=~(~(F3*F1)*~(~F4*F2))*1VOY_INST/registers/xfer_padri/enable_ml-CLBCLB_R13C21 nXMUX:H YMUX:G G2MUX:G2I F4MUX:F4I H1:C4 H:#LUT:H=H1+F H2:F G:#LUT:G=(G4*~G1)*G2 F:#LUT:F=~(~(F4*F1)*~(~F2*F3))*01S_READY.CLBCLB_R23C17 6CLKX:CLK F4MUX:F4I XQMUX:QX DX:F F:#LUT:F=F4 SRX:RESET15VOY_INST/transfer/c_hold474/CLBCLB_R17C12 CLKX:CLK CLKY:CLK DY:F XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:DIN DIN:C3 EC:C2 G:#LUT:G=(G3*G4)*~G2*~G1 F:#LUT:F=~(F4*~F3) SRX:RESET SRY:RESET0185VOY_INST/transfer/n30750CLBCLB_R14C8 oCLKX:CLK YMUX:G G3MUX:G3I F4MUX:F4I XQMUX:QX DX:F G:#LUT:G=~((~G3+G1)+G4) F:#LUT:F=F3+~((~F4+F2)+~F1) SRX:RESET015VOY_INST/error1CLBCLB_R13C11 CLKX:CLK XMUX:H YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:F H1:C2 H:#LUT:H=~H1*F H2:F G:#LUT:G=G4+((G3*~G2)*~G1) F:#LUT:F=~(~(F1*F4)*~(F3*F2)) SRX:RESET*015VOY_INST/transfer/n30402CLBCLB_R14C11 {CLKX:CLK ECX:EC XMUX:F YMUX:H XQMUX:QX DX:H H1:C4 SR:C1 EC:C2 H:#LUT:H=~(~G*~(F*H1)) H0:SR H2:F F:#LUT:F=~(F2+F1) SRX:RESET*15n14503CLBCLB_R14C28 CLKX:CLK CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:G H1:C2 DIN:C4 SR:C1 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000 SRX:RESET SRY:RESET'0185n14484CLBCLB_R12C27 CLKX:CLK CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:G H1:C1 DIN:C4 SR:C2 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000 SRX:RESET SRY:RESET'0185n14465CLBCLB_R15C25 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:F H1:C2 DIN:C4 SR:C1 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000 SRX:RESET SRY:RESET'0158n14446CLBCLB_R16C24 CLKX:CLK CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:G H1:C4 DIN:C2 SR:C3 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000 SRX:RESET SRY:RESET'0185n14427CLBCLB_R18C28 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:F H1:C2 DIN:C4 SR:C1 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000 SRX:RESET SRY:RESET'0158n14608CLBCLB_R22C28 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:F H1:C2 DIN:C4 SR:C1 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000 SRX:RESET SRY:RESET'0158n14409CLBCLB_R21C28 CLKX:CLK CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:G H1:C2 DIN:C4 SR:C1 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000 SRX:RESET SRY:RESET'0185n1438:CLBCLB_R19C28 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:F H1:C2 DIN:C4 SR:C1 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000 SRX:RESET SRY:RESET'0158n1436;CLBCLB_R5C26 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:F H1:C4 DIN:C2 SR:C3 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000 SRX:RESET SRY:RESET'0158n1434<CLBCLB_R3C28 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:F H1:C4 DIN:C2 SR:C1 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000 SRX:RESET SRY:RESET'0158n1432=CLBCLB_R4C14 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:F H1:C2 DIN:C3 SR:C1 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000 SRX:RESET SRY:RESET'0158n1430>CLBCLB_R6C26 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:F H1:C4 DIN:C2 SR:C1 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000 SRX:RESET SRY:RESET'0158n1428?CLBCLB_R28C7 CLKX:CLK CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:G H1:C2 DIN:C2 SR:C1 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000 SRX:RESET SRY:RESET'0185n1426@CLBCLB_R28C6 CLKX:CLK CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:G H1:C2 DIN:C2 SR:C3 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000 SRX:RESET SRY:RESET'0185n1458ACLBCLB_R8C28 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:F H1:C3 DIN:C2 SR:C4 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000 SRX:RESET SRY:RESET'0158n1456BCLBCLB_R7C14 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:F H1:C4 DIN:C3 SR:C1 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000 SRX:RESET SRY:RESET' 0 1 5 8n1454CCLBCLB_R9C14 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:F H1:C2 DIN:C3 SR:C1 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000 SRX:RESET SRY:RESET '0158n1452DCLBCLB_R12C14 CLKX:CLK CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY DX:F H1:C2 DIN:C3 SR:C4 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000 SRX:RESET SRY:RESET'0158n1425ECLBCLB_R19C4 CLKY:CLK DY:H G3MUX:G3I YQMUX:QY ECY:EC H1:C3 EC:C1 H:#LUT:H=(H1+G)+F H0:G H2:F G:#LUT:G=~((~G1*G3)+G4) F:#LUT:F=~(F1+F3) SRY:SET*018VOY_INST/transfer/irf_fifo/ar<3>FCLBCLB_R19C6 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C3 EC:C2 H:#LUT:H=~(F+H1) H2:F G:#LUT:G=G1+((G2*~G3)*~G4) F:#LUT:F=F1@~((~(~F3*F4)*(F2+~F3))*(F4+~F2)) SRX:RESET SRY:RESET*0158VOY_INST/transfer/irf_fifo/aw<3>GCLBCLB_R21C5 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C4 EC:C2 H:#LUT:H=~(F+H1) H2:F G:#LUT:G=G3+((G2*~G1)*~G4) F:#LUT:F=F1@~((~(~F2*F3)*(F4+~F2))*(F3+~F4)) SRX:RESET SRY:RESET *!0"1#5$8VOY_INST/transfer/irf_fifo/status<1>HCLBCLB_R17C4 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C4 G:#LUT:G=G3+((G1*~G2)*~G4) F:#LUT:F=((F2@F1)@F4)*~F3 SRX:RESET SRY:RESET%0&1'5(8VOY_INST/transfer/irf_fifo/status_reg144<0>ICLBCLB_R18C4 CLKX:CLK ECX:EC CLKY:CLK DY:G YMUX:G G3MUX:G3I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C4 EC:C3 H:#LUT:H=~(F+H1) H2:F G:#LUT:G=~(G3+G4) F:#LUT:F=F1@~((~(~F3*F4)*~(F3*F2))*(F4+F2)) SRX:RESET SRY:RESET)**0+1,5-8VOY_INST/transfer/r_idlJCLBCLB_R17C6 CLKY:CLK DY:H XMUX:F G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC H1:C2 EC:C1 H:#LUT:H=F+~(G+~H1) H0:G H2:F G:#LUT:G=(G4+G1)+G2+~G3 F:#LUT:F=~((F2+F4)+F3) SRY:SET.*/00118VOY_INST/transfer/irf_fifo/n758KCLBCLB_R18C3 xCLKY:CLK DY:F YMUX:G G3MUX:G3I F4MUX:F4I YQMUX:QY ECY:EC EC:C3 G:#LUT:G=~(~G3*(G4+G1)) F:#LUT:F=(F2*F3)*F1*~F4 SRY:RESET203148VOY_INST/transfer/irf_fifo/n756LCLBCLB_R18C5 CXMUX:F YMUX:G G2MUX:G2I F4MUX:F4I G:#LUT:G=G2+G4+~G1 F:#LUT:F=~(F4)5061VOY_INST/transfer/irf_fifo/n731MCLBCLB_R16C3 'XMUX:F F4MUX:F4I F:#LUT:F=~((F2*F4)+F3)71VOY_INST/transfer/irf_fifo/n729NCLBCLB_R19C7 cXMUX:F YMUX:G G2MUX:G2I F4MUX:F4I G:#LUT:G=~(~(G4*G2)*~(~G4*G1)) F:#LUT:F=~((F4+F2)*~((F4+~F1)*F2))8091VOY_INST/transfer/irf_fifo/n723OCLBCLB_R19C5 gXMUX:F YMUX:G G2MUX:G2I F4MUX:F4I G:#LUT:G=~((G4+~(G1+G2))*~(G2*G1)) F:#LUT:F=~((F1+F4)*~((F1+~F3)*F4)):0;1VOY_INST/transfer/irf_fifo/ram_a<0>PCLBCLB_R15C8 [XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I G:#LUT:G=~(~G2*(G3+G4))*G1 F:#LUT:F=~(~(~F2*F1)*~(F2*F3))<0=1VOY_INST/transfer/irf_fifo/ram_a<1>QCLBCLB_R21C6 XXMUX:F YMUX:G G3MUX:G3I F4MUX:F4I G:#LUT:G=~((~G3+G1)+G4) F:#LUT:F=~(~(F4*F1)*~(~F4*F3))>0?1VOY_INST/transfer/irf_fifo/ram_a<3>RCLBCLB_R20C5 %XMUX:F F:#LUT:F=~(~(F1*F2)*~(~F1*F3))@1VOY_INST/transfer/irf_fifo/n740SCLBCLB_R18C2 NXMUX:F YMUX:G G3MUX:G3I F4MUX:F4I G:#LUT:G=(G4*~G3)*G1 F:#LUT:F=~((~F1+F4)+F3)A0B1VOY_INST/transfer/irf_fifo/n734TCLBCLB_R17C2 XMUX:F F:#LUT:F=~(F3*F2)C1VOY_INST/transfer/irf_fifo/n721UCLBCLB_R21C4 QXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I G:#LUT:G=~(~G3*(G4+G2)) F:#LUT:F=~((~F2+F3)+F1)D0E1VOY_INST/transfer/irf_fifo/n753VCLBCLB_R17C3 nXMUX:H YMUX:G G3MUX:G3I G2MUX:G2I H1:C3 H:#LUT:H=~H1*F H2:F G:#LUT:G=~(~(G4*G3)*(G3+(G4*G2))) F:#LUT:F=~F3*~F2F*G0H1VOY_INST/transfer/irf_fifo/n751WCLBCLB_R16C4 CXMUX:H YMUX:G H:#LUT:H=~(~F+G) H0:G H2:F G:#LUT:G=~(G1) F:#LUT:F=F2I*J0K1VOY_INST/transfer/irf_fifo/n749XCLBCLB_R19C3 LXMUX:H YMUX:G G3MUX:G3I H1:C3 H:#LUT:H=F*H1 H2:F G:#LUT:G=~G3*G4 F:#LUT:F=F3L*M0N1VOY_INST/transfer/irf_fifo/n716YCLBCLB_R18C7 fXMUX:F YMUX:H F4MUX:F4I H1:C3 SR:C2 H:#LUT:H=~(~((~F+H1)*G)*~(~F*H1)) H0:SR H2:F F:#LUT:F=~(F3+F1+~F4)O*P1VOY_INST/transfer/irf_fifo/ram_weZCLBCLB_R18C6 fXMUX:F YMUX:H F4MUX:F4I H1:C1 SR:C2 H:#LUT:H=~(~((~F+H1)*G)*~(~F*H1)) H0:SR H2:F F:#LUT:F=~(F3+F4+~F2)Q*R1VOY_INST/transfer/irf_fifo/aw517<0>[CLBCLB_R22C5 CLKX:CLK ECX:EC CLKY:CLK DY:G XMUX:F YMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:F H1:C1 SR:C3 EC:C4 H:#LUT:H=H1+G H0:SR G:#LUT:G=~((G4@G3)@G2)*~G1 F:#LUT:F=~(F4+F2) SRX:RESET SRY:RESETS*T0U1V5W8VOY_INST/transfer/irf_fifo/ar582<0>\CLBCLB_R20C7 CLKX:CLK ECX:EC CLKY:CLK DY:G XMUX:F YMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:F H1:C3 SR:C1 EC:C4 H:#LUT:H=H1+G H0:SR G:#LUT:G=~((G1@G3)@G4)*~G2 F:#LUT:F=~(F4+F1) SRX:RESET SRY:RESETX*Y0Z1[5\8VOY_INST/transfer/irf_fifo/n708]CLBCLB_R20C4 WXMUX:F YMUX:H F4MUX:F4I H1:C4 SR:C3 H:#LUT:H=~(~G*(F+H1)) H0:SR H2:F F:#LUT:F=~(~F1+F4)]*^1VOY_INST/transfer/irf_fifo/n728^CLBCLB_R20C6 zYMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C3 H:#LUT:H=(F+H1)*~G H0:G H2:F G:#LUT:G=(~(G3*G1)*~G2)*G4 F:#LUT:F=~((~F3+F4)+F1)_*`0a1VOY_INST/transfer/irf_fifo/n722_CLBCLB_R22C4 zYMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C2 H:#LUT:H=(F+H1)*~G H0:G H2:F G:#LUT:G=(~(G3*G1)*~G4)*G2 F:#LUT:F=~((~F3+F2)+F4)b*c0d1VOY_INST/transfer/irf_fifo/n713`CLBCLB_R17C5 uXMUX:F YMUX:H G3MUX:G3I G2MUX:G2I H1:C4 H:#LUT:H=(F+H1)*~G H0:G H2:F G:#LUT:G=(~(~G3*G4)*~G2)*G1 F:#LUT:F=(F1*~F2)*F3e*f0g1VOY_INST/transfer/iwf_din_dly<0>aCLBCLB_R22C8 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C1 DIN:C2 H:#LUT:H=H1 SRX:RESET SRY:RESETh*i5j8VOY_INST/transfer/iwf_din_dly<10>bCLBCLB_R14C3 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C1 DIN:C2 H:#LUT:H=H1 SRX:RESET SRY:RESETk*l5m8VOY_INST/transfer/iwf_din_dly<12>cCLBCLB_R1C15 \CLKX:CLK CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY DX:H H1:C3 DIN:C4 H:#LUT:H=H1 SRX:RESET SRY:RESETn*o8p5VOY_INST/transfer/iwf_din_dly<14>dCLBCLB_R16C10 \CLKX:CLK CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY DX:H H1:C2 DIN:C4 H:#LUT:H=H1 SRX:RESET SRY:RESETq*r8s5VOY_INST/transfer/iwf_din_dly<16>eCLBCLB_R16C2 \CLKX:CLK CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY DX:H H1:C2 DIN:C4 H:#LUT:H=H1 SRX:RESET SRY:RESETt*u8v5VOY_INST/transfer/iwf_din_dly<18>fCLBCLB_R20C1 \CLKX:CLK CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY DX:H H1:C2 DIN:C1 H:#LUT:H=H1 SRX:RESET SRY:RESETw*x8y5VOY_INST/transfer/iwf_din_dly<20>gCLBCLB_R20C8 \CLKX:CLK CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY DX:H H1:C4 DIN:C2 H:#LUT:H=H1 SRX:RESET SRY:RESETz*{8|5VOY_INST/transfer/iwf_din_dly<22>hCLBCLB_R24C8 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C1 DIN:C2 H:#LUT:H=H1 SRX:RESET SRY:RESET}*~58VOY_INST/transfer/iwf_din_dly<24>iCLBCLB_R2C13 \CLKX:CLK CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY DX:H H1:C4 DIN:C1 H:#LUT:H=H1 SRX:RESET SRY:RESET*85VOY_INST/transfer/iwf_din_dly<26>jCLBCLB_R1C14 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C4 DIN:C1 H:#LUT:H=H1 SRX:RESET SRY:RESET*58VOY_INST/transfer/iwf_din_dly<28>kCLBCLB_R3C12 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C3 DIN:C4 H:#LUT:H=H1 SRX:RESET SRY:RESET*58VOY_INST/transfer/iwf_din_dly<2>lCLBCLB_R6C7 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C1 DIN:C4 H:#LUT:H=H1 SRX:RESET SRY:RESET*58VOY_INST/transfer/iwf_din_dly<30>mCLBCLB_R5C7 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C1 DIN:C4 H:#LUT:H=H1 SRX:RESET SRY:RESET*58VOY_INST/transfer/iwf_din_dly<4>nCLBCLB_R4C11 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C4 DIN:C1 H:#LUT:H=H1 SRX:RESET SRY:RESET*58VOY_INST/transfer/iwf_din_dly<6>oCLBCLB_R8C11 \CLKX:CLK CLKY:CLK DY:DIN XQMUX:QX YQMUX:QY DX:H H1:C1 DIN:C2 H:#LUT:H=H1 SRX:RESET SRY:RESET*85VOY_INST/transfer/iwf_din_dly<8>pCLBCLB_R12C1 \CLKX:CLK CLKY:CLK DY:H XQMUX:QX YQMUX:QY DX:DIN H1:C1 DIN:C2 H:#LUT:H=H1 SRX:RESET SRY:RESET*58VOY_INST/transfer/iwf_aefqCLBCLB_R7C6 CLKY:CLK DY:H G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC H1:C2 EC:C3 H:#LUT:H=(H1+G)+F H0:G H2:F G:#LUT:G=~((G2*~G4)+G1) F:#LUT:F=~(F1+F4) SRY:SET*018VOY_INST/transfer/iwf_fifo/n826rCLBCLB_R7C5 {CLKY:CLK DY:F YMUX:G G3MUX:G3I F4MUX:F4I YQMUX:QY ECY:EC EC:C4 G:#LUT:G=~((G4+~G3)+G1) F:#LUT:F=F4+((F1*~F2)*~F3) SRY:RESET018VOY_INST/transfer/iwf_fifo/ar582<0>sCLBCLB_R8C8 CLKX:CLK ECX:EC CLKY:CLK DY:F XMUX:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C1 G:#LUT:G=~((G3@G2)@G1)*~G4 F:#LUT:F=~(F4+F3) SRX:RESET SRY:RESET0185VOY_INST/transfer/iwf_fifo/ar<3>tCLBCLB_R10C8 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C2 EC:C4 H:#LUT:H=~(F+H1) H2:F G:#LUT:G=G4+((G1*~G2)*~G3) F:#LUT:F=F1@~((~(~F4*F3)*(F2+~F4))*(F3+~F2)) SRX:RESET SRY:RESET*0158VOY_INST/transfer/iwf_fifo/aw517<0>uCLBCLB_R9C10 CLKX:CLK ECX:EC CLKY:CLK DY:G XMUX:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C2 G:#LUT:G=~((G1@G4)@G3)*~G2 F:#LUT:F=~(F4+F1) SRX:RESET SRY:RESET0158VOY_INST/transfer/iwf_fifo/aw<3>vCLBCLB_R10C10 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C2 EC:C4 H:#LUT:H=~(F+H1) H2:F G:#LUT:G=G1+((G3*~G2)*~G4) F:#LUT:F=F1@~((~(~F3*F2)*(F4+~F3))*(F2+~F4)) SRX:RESET SRY:RESET*0158VOY_INST/transfer/iwf_dout<1>wCLBCLB_R17C10 iXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C2 DIN:C1 SR:C3 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000'01VOY_INST/transfer/iwf_dout<5>xCLBCLB_R8C15 iXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C1 DIN:C4 SR:C2 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000'01VOY_INST/transfer/iwf_dout<6>yCLBCLB_R9C15 iXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C4 DIN:C2 SR:C1 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000'01VOY_INST/transfer/iwf_dout<7>zCLBCLB_R9C12 iXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C1 DIN:C4 SR:C2 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000'01VOY_INST/transfer/iwf_dout<9>{CLBCLB_R12C12 iXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C2 DIN:C4 SR:C1 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000'01VOY_INST/transfer/iwf_dout<11>|CLBCLB_R14C15 iXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C3 DIN:C4 SR:C2 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000'01VOY_INST/transfer/iwf_dout<13>}CLBCLB_R11C15 iXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C1 DIN:C4 SR:C2 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000'01VOY_INST/transfer/iwf_dout<15>~CLBCLB_R15C15 iXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C2 DIN:C1 SR:C4 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000'01VOY_INST/transfer/iwf_dout<17>CLBCLB_R16C15 iXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C4 DIN:C1 SR:C3 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000'01VOY_INST/transfer/iwf_dout<20>CLBCLB_R18C9 iXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C1 DIN:C2 SR:C4 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000'01VOY_INST/transfer/iwf_dout<21>CLBCLB_R19C8 iXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C4 DIN:C1 SR:C3 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000'01VOY_INST/transfer/iwf_dout<23>CLBCLB_R19C9 iXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C3 DIN:C2 SR:C1 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000'01VOY_INST/transfer/iwf_dout<25>CLBCLB_R5C15 iXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C4 DIN:C1 SR:C2 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000'01VOY_INST/transfer/iwf_dout<27>CLBCLB_R3C15 iXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C3 DIN:C4 SR:C2 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000'01VOY_INST/transfer/iwf_dout<29>CLBCLB_R4C15 iXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C1 DIN:C4 SR:C2 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000'01VOY_INST/transfer/iwf_dout<31>CLBCLB_R5C11 iXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C2 DIN:C1 SR:C4 RAMCLK:CLK G:#RAM:G=0x0000 F:#RAM:F=0x0000'01VOY_INST/transfer/iwf_fifo/status_reg144<0>CLBCLB_R9C4 lCLKX:CLK ECX:EC XMUX:F YMUX:G G2MUX:G2I XQMUX:QX DX:F EC:C3 G:#LUT:G=(G4*~G2)*G1 F:#LUT:F=~(F2+F1) SRX:RESET015VOY_INST/transfer/w_idlCLBCLB_R11C6 CLKX:CLK ECX:EC XMUX:F G3MUX:G3I G2MUX:G2I XQMUX:QX DX:H H1:C4 EC:C1 H:#LUT:H=~(~H1*(G+F)) H0:G H2:F G:#LUT:G=~(((G3+(~G4*G1))*~(~G4*G3))*G2) F:#LUT:F=~((F2+F1)+F3) SRX:RESET*015VOY_INST/transfer/iwf_fifo/status<3>CLBCLB_R9C6 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C4 EC:C1 H:#LUT:H=~(F+H1) H2:F G:#LUT:G=((G2@G4)@G1)*~G3 F:#LUT:F=F2@~((~(~F3*F4)*~(F3*F1))*(F4+F1)) SRX:RESET SRY:RESET*0158VOY_INST/transfer/iwf_fifo/n796CLBCLB_R10C6 CLKY:CLK DY:H XMUX:F G3MUX:G3I G2MUX:G2I YQMUX:QY ECY:EC H1:C2 EC:C1 H:#LUT:H=H1+~(G+~F) H0:G H2:F G:#LUT:G=(G2+G1)+G4+~G3 F:#LUT:F=~(F2+F3) SRY:SET*018VOY_INST/transfer/iwf_fifo/n847CLBCLB_R8C6 [XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=(G3+G2)+G4+~G1 F:#LUT:F=~(~F2*(F1+F4))01VOY_INST/transfer/iwf_fifo/n845CLBCLB_R6C6 XMUX:F F:#LUT:F=~(F1)1VOY_INST/transfer/iwf_fifo/n824CLBCLB_R6C5 XMUX:F F:#LUT:F=~(F3)1VOY_INST/transfer/iwf_fifo/n821CLBCLB_R10C9 cXMUX:F YMUX:G G2MUX:G2I F4MUX:F4I G:#LUT:G=~(~(G2*G4)*~(~G2*G1)) F:#LUT:F=~((F1+F2)*~((F1+~F4)*F2))01VOY_INST/transfer/iwf_fifo/n815CLBCLB_R10C11 iXMUX:F YMUX:G G2MUX:G2I F4MUX:F4I G:#LUT:G=~(~((~G2+G1)*G4)*~(~G2*G1)) F:#LUT:F=~((F1+F2)*~((F1+~F4)*F2))01VOY_INST/transfer/iwf_fifo/ram_a<0>CLBCLB_R11C8 iXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=~(~(G2*G3)*~(~G2*G4)) F:#LUT:F=~(~(~F2*F3)*~(F2*F4))01VOY_INST/transfer/iwf_fifo/ram_a<1>CLBCLB_R11C9 XXMUX:F YMUX:G G3MUX:G3I F4MUX:F4I G:#LUT:G=~((~G4+G1)+G3) F:#LUT:F=~(~(F2*F3)*~(~F2*F4))01VOY_INST/transfer/iwf_fifo/n831CLBCLB_R10C5 aXMUX:F YMUX:G G3MUX:G3I F4MUX:F4I G:#LUT:G=~((~G3+G4)+G1) F:#LUT:F=~(((F1+F2)+~(F4+F3))*~(F3*F4))01VOY_INST/transfer/iwf_fifo/n828CLBCLB_R7C4 5XMUX:F YMUX:G G2MUX:G2I G:#LUT:G=G2 F:#LUT:F=~(F1*F2)0 1VOY_INST/transfer/iwf_fifo/n813CLBCLB_R8C9 GXMUX:F YMUX:G G2MUX:G2I G:#LUT:G=~(~G1*(G4+G2)) F:#LUT:F=~((~F3+F1)+F2) 0 1VOY_INST/transfer/iwf_fifo/n842CLBCLB_R8C5 CLKX:CLK ECX:EC YMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:G H1:C3 EC:C4 H:#LUT:H=~(~F+H1) H2:F G:#LUT:G=(G1*G4)*G2*~G3 F:#LUT:F=F4*F2 SRX:RESET * 0 1 5VOY_INST/transfer/iwf_fifo/n827CLBCLB_R8C4 RXMUX:H YMUX:G G2MUX:G2I H1:C2 H:#LUT:H=~H1*F H2:F G:#LUT:G=~G2*G4 F:#LUT:F=~F3*~F1 * 0 1VOY_INST/transfer/iwf_fifo/n806CLBCLB_R9C7 kXMUX:F YMUX:H F4MUX:F4I H1:C3 SR:C1 H:#LUT:H=~(~((~F+H1)*G)*~(~F*H1)) H0:SR H2:F F:#LUT:F=~((F3+F2)+F1+~F4) * 1VOY_INST/transfer/iwf_fifo/n807CLBCLB_R8C7 YXMUX:F YMUX:H F4MUX:F4I H1:C3 SR:C4 H:#LUT:H=(G+F)+H1 H0:SR H2:F F:#LUT:F=(F3*F2)*~F1*~F4 * 1VOY_INST/transfer/iwf_fifo/ram_weCLBCLB_R12C7 KXMUX:F YMUX:G G2MUX:G2I F4MUX:F4I G:#LUT:G=G2+G1 F:#LUT:F=~((F4+F1)+F2+~F3) 0 1VOY_INST/transfer/iwf_fifo/n794CLBCLB_R10C7 YXMUX:F YMUX:H F4MUX:F4I H1:C2 SR:C4 H:#LUT:H=(F+G)+H1 H0:SR H2:F F:#LUT:F=(F1*F2)*~F4*~F3 * 1VOY_INST/transfer/iwf_fifo/n797CLBCLB_R7C7 RXMUX:F YMUX:H H1:C1 SR:C2 H:#LUT:H=~(~G*(F+H1)) H0:SR H2:F F:#LUT:F=~((~F1+F3)+F2) * 1VOY_INST/transfer/iwf_fifo/n820CLBCLB_R9C8 zXMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C2 H:#LUT:H=(F+H1)*~G H0:G H2:F G:#LUT:G=(~(G4*G1)*~G2)*G3 F:#LUT:F=~((~F4+F3)+F2) * 0 1VOY_INST/transfer/iwf_fifo/n814CLBCLB_R9C9 zXMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C2 H:#LUT:H=(F+H1)*~G H0:G H2:F G:#LUT:G=(~(G3*G1)*~G4)*G2 F:#LUT:F=~((~F3+F4)+F2) * 0 1VOY_INST/transfer/iwf_fifo/n802CLBCLB_R9C5 XMUX:F YMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I H1:C3 H:#LUT:H=(F+H1)*~G H0:G H2:F G:#LUT:G=(~(G4*~G3)*~G2)*G1 F:#LUT:F=(F1*~F4)*F3 * 0 1VOY_INST/registers/n1354CLBCLB_R6C20 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C3 DIN:C4 EC:C2 H:#LUT:H=H1 G:#LUT:G=~(((~G4+~G3)+G2)*~((G1*~G4)*G2)) F:#LUT:F=~((F2+~(F1+F4))+(F4*~F3)) SRX:RESET SRY:RESET * 0 1 5 !8VOY_INST/registers/n1356CLBCLB_R8C18 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C4 DIN:C3 EC:C2 H:#LUT:H=H1 G:#LUT:G=~(((~G3+~G2)+G1)*~((G4*~G3)*G1)) F:#LUT:F=((~F1+F2)*(F4+F1))*F3 SRX:RESET SRY:RESET "* #0 $1 %5 &8VOY_INST/registers/n1358CLBCLB_R5C19 CLKX:CLK ECX:EC CLKY:CLK DY:DIN XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:H H1:C2 DIN:C4 EC:C1 H:#LUT:H=H1 G:#LUT:G=~(((~G1+~G3)+G4)*~((G2*~G1)*G4)) F:#LUT:F=~((F4+~(F3+F1))+(F1*~F2)) SRX:RESET SRY:RESET '* (0 )1 *8 +5VOY_INST/registers/xfer_ladri/ladr_bits129<0>CLBCLB_R6C23 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:F YMUX:G G2MUX:G2I XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C3 DIN:C1 EC:C2 H:#LUT:H=H1 G:#LUT:G=G1@G2 F:#LUT:F=~(F2) SRX:RESET SRY:RESET ,* -0 .1 /5 08VOY_INST/registers/n1352CLBCLB_R8C19 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C4 DIN:C3 EC:C2 H:#LUT:H=H1 G:#LUT:G=G2+((G4*~G3)*~G1) F:#LUT:F=~(((~F1+~F2)+F3)*~((F4*~F1)*F3)) SRX:RESET SRY:RESET 1* 20 31 45 58VOY_INST/registers/n1350CLBCLB_R6C19 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C3 DIN:C1 EC:C2 H:#LUT:H=H1 G:#LUT:G=G4+((G3*~G2)*~G1) F:#LUT:F=~(((~F1+~F4)+F2)*~((F3*~F1)*F2)) SRX:RESET SRY:RESET 6* 70 81 95 :8VOY_INST/registers/n1348CLBCLB_R9C16 CLKX:CLK ECX:EC CLKY:CLK DY:H XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:DIN H1:C1 DIN:C4 EC:C2 H:#LUT:H=H1 G:#LUT:G=G3+~((~G1+G2)+~G4) F:#LUT:F=~(((~F2+~F3)+F4)*~((F1*~F2)*F4)) SRX:RESET SRY:RESET ;* <0 =1 >5 ?8M_DATACLBCLB_R17C13 3CLKX:CLK XMUX:F XQMUX:QX DX:F F:#LUT:F=F3 SRX:RESET @1 A5VOY_INST/transfer/n3091CLBCLB_R17C11 CLKY:CLK DY:DIN XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC DIN:C4 EC:C1 G:#LUT:G=~(~((G2*~G3)*G1)*G4) F:#LUT:F=(F3*F2)*F4*~F1 SRY:SET B0 C1 D8VOY_INST/transfer/n3057CLBCLB_R14C10 CLKY:CLK DY:H XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY H1:C2 SR:C3 H:#LUT:H=(F+G)*~H1 H0:SR H2:F G:#LUT:G=(G3*G4)*G1*~G2 F:#LUT:F=~((~F4+F3)+F2) SRY:RESET E* F0 G1 H8VOY_INST/transfer/n3090CLBCLB_R16C8 zCLKX:CLK YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:F G:#LUT:G=(G4*G2)*~G3*~G1 F:#LUT:F=F2+~((~F4+F3)+~F1) SRX:RESET I0 J1 K5VOY_INST/transfer/n3268CLBCLB_R15C9 CLKX:CLK XMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H H:#LUT:H=F+G H0:G H2:F G:#LUT:G=(G1+(G4*G2))+G3 F:#LUT:F=(~(~F4*F2)*~F3)*F1 SRX:RESET L* M0 N1 O5VOY_INST/transfer/irf_hintCLBCLB_R17C7 CLKX:CLK XMUX:F YMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H H1:C4 H:#LUT:H=G+~(H1+~F) H0:G H2:F G:#LUT:G=~(~G2*(G4+G3))*G1 F:#LUT:F=(F3*~F1)*F4 SRX:RESET P* Q0 R1 S5n1424CLBCLB_R17C8 CLKX:CLK CLKY:CLK DY:H XMUX:F F4MUX:F4I XQMUX:QX YQMUX:QY DX:DIN H1:C1 DIN:C4 H:#LUT:H=H1 F:#LUT:F=~((~F3+F4)+F2) SRX:RESET SRY:RESET T* U1 V5 W8VOY_INST/transfer/retries<0>CLBCLB_R6C8 CLKX:CLK ECX:EC CLKY:CLK DY:G G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:F EC:C2 G:#LUT:G=~((~G4+G2)+G3) F:#LUT:F=~((~F4+F2)+F3) SRX:RESET SRY:RESET X0 Y1 Z5 [8VOY_INST/transfer/retries<2>CLBCLB_R6C9 CLKX:CLK ECX:EC CLKY:CLK DY:F G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C3 G:#LUT:G=~((~G4+G2)+G1) F:#LUT:F=~((~F4+F2)+F1) SRX:RESET SRY:RESET \0 ]1 ^8 _5VOY_INST/transfer/retries<4>CLBCLB_R7C8 CLKX:CLK ECX:EC CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C4 G:#LUT:G=~((~G3+G4)+G2) F:#LUT:F=~((~F3+F4)+F2) SRX:RESET SRY:RESET `0 a1 b8 c5VOY_INST/transfer/retries<6>CLBCLB_R7C11 CLKX:CLK ECX:EC CLKY:CLK DY:F G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX YQMUX:QY ECY:EC DX:G EC:C4 G:#LUT:G=~((~G4+G3)+G2) F:#LUT:F=~((~F4+F3)+F2) SRX:RESET SRY:RESET d0 e1 f8 g5VOY_INST/transfer/retry_limitCLBCLB_R7C10 CLKY:CLK DY:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY H:#LUT:H=~(F+G) H0:G H2:F G:#LUT:G=(G4+G2)+G3+G1 F:#LUT:F=~((F3*F2)*F1*~F4) SRY:RESET h* i0 j1 k8VOY_INST/transfer/retries992<0>CLBCLB_R5C8 5XMUX:F YMUX:G G2MUX:G2I G:#LUT:G=G2@G1 F:#LUT:F=~(F1) l0 m1VOY_INST/transfer/retries992<2>CLBCLB_R5C9 XXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=((G1*G2)*G4)@G3 F:#LUT:F=(F1*F4)@F2 n0 o1VOY_INST/transfer/retries992<4>CLBCLB_R7C9 XXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=((G1*G4)*G2)@G3 F:#LUT:F=(F4*F3)@F1 p0 q1VOY_INST/transfer/retries992<6>CLBCLB_R6C11 XXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=((G1*G3)*G2)@G4 F:#LUT:F=(F4*F1)@F2 r0 s1VOY_INST/transfer/rtry_cntr/add_487/n12CLBCLB_R6C10 TXMUX:F YMUX:H F4MUX:F4I H1:C2 SR:C1 H:#LUT:H=(H1*G)*F H0:SR H2:F F:#LUT:F=(F4*F2)*F1 t* u1VOY_INST/transfer/U1210TBUFTBUF_R10C20.1TBUFATTR:TBUF IATTR:I vVOY_INST/transfer/U1211TBUFTBUF_R4C15.1TBUFATTR:TBUF IATTR:I wVOY_INST/transfer/U1212TBUFTBUF_R6C15.1TBUFATTR:TBUF IATTR:I xVOY_INST/transfer/U1213TBUFTBUF_R8C15.1TBUFATTR:TBUF IATTR:I yVOY_INST/transfer/U1214TBUFTBUF_R14C20.1TBUFATTR:TBUF IATTR:I zVOY_INST/transfer/U1215TBUFTBUF_R19C20.2TBUFATTR:TBUF IATTR:I {VOY_INST/transfer/U1216TBUFTBUF_R18C20.2TBUFATTR:TBUF IATTR:I |VOY_INST/transfer/U1217TBUFTBUF_R11C15.2TBUFATTR:TBUF IATTR:I }VOY_INST/transfer/U1218TBUFTBUF_R21C15.2TBUFATTR:TBUF IATTR:I ~VOY_INST/transfer/U1219TBUFTBUF_R15C20.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1220TBUFTBUF_R3C20.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1221TBUFTBUF_R22C15.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1222TBUFTBUF_R8C20.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1223TBUFTBUF_R16C15.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1224TBUFTBUF_R5C15.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1225TBUFTBUF_R12C15.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1226TBUFTBUF_R15C15.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1227TBUFTBUF_R3C15.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1228TBUFTBUF_R12C20.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1229TBUFTBUF_R22C20.1TBUFATTR:TBUF IATTR:GND VOY_INST/transfer/U1230TBUFTBUF_R16C20.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1231TBUFTBUF_R5C20.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1232TBUFTBUF_R8C15.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1233TBUFTBUF_R14C15.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1234TBUFTBUF_R19C15.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1235TBUFTBUF_R6C20.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1236TBUFTBUF_R4C20.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1237TBUFTBUF_R10C15.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1238TBUFTBUF_R21C20.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1239TBUFTBUF_R11C20.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1240TBUFTBUF_R8C20.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1241TBUFTBUF_R18C15.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1242TBUFTBUF_R14C15.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1243TBUFTBUF_R19C15.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1244TBUFTBUF_R6C20.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1245TBUFTBUF_R4C20.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1246TBUFTBUF_R9C15.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1247TBUFTBUF_R11C20.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1248TBUFTBUF_R21C20.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1249TBUFTBUF_R7C20.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1250TBUFTBUF_R17C15.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1251TBUFTBUF_R15C15.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1252TBUFTBUF_R3C15.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1253TBUFTBUF_R12C20.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1254TBUFTBUF_R22C20.2TBUFATTR:TBUF IATTR:GND VOY_INST/transfer/U1255TBUFTBUF_R16C20.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1256TBUFTBUF_R5C20.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1257TBUFTBUF_R9C15.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1258TBUFTBUF_R15C20.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1259TBUFTBUF_R3C20.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1260TBUFTBUF_R22C15.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1261TBUFTBUF_R9C20.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1262TBUFTBUF_R16C15.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1263TBUFTBUF_R5C15.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1264TBUFTBUF_R12C15.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1265TBUFTBUF_R9C20.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1266TBUFTBUF_R6C15.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1267TBUFTBUF_R4C15.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1268TBUFTBUF_R7C15.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1269TBUFTBUF_R14C20.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1270TBUFTBUF_R19C20.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1271TBUFTBUF_R17C20.2TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1272TBUFTBUF_R11C15.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/U1273TBUFTBUF_R21C15.1TBUFATTR:TBUF IATTR:I VOY_INST/transfer/n3099CLBCLB_R14C9 `XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=G2+~((~G3+G1)+~G4) F:#LUT:F=(F1*F3)*~F2*~F4 0 1VOY_INST/wdisCLBCLB_R16C5 CLKY:CLK DY:F XMUX:H YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC H1:C2 SR:C3 EC:C1 H:#LUT:H=~(H1*G) H0:SR G:#LUT:G=~((G1+~G3)+G2) F:#LUT:F=F3+((F4*~F2)*~F1) SRY:RESET * 0 1 8VOY_INST/transfer/iwf_hintCLBCLB_R11C7 FXMUX:F YMUX:H SR:C2 H:#LUT:H=~(F+G) H0:SR H2:F F:#LUT:F=~((~F1+F2)+F3) * 1VOY_INST/transfer/n3073CLBCLB_R14C6 NXMUX:F YMUX:G G2MUX:G2I F4MUX:F4I G:#LUT:G=~(G2+G1) F:#LUT:F=~((F1+F2)+F4+~F3) 0 1n1423CLBCLB_R13C9 `XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=G1+~((~G4+G2)+~G3) F:#LUT:F=((F1+F3)+F4)*F2 0 1VOY_INST/transfer/n3081CLBCLB_R12C11 HXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I G:#LUT:G=~(G2+G3) F:#LUT:F=(F3*~F2)*F1 0 1VOY_INST/transfer/n3064CLBCLB_R15C5 cXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=~(~((G2*G1)*G3)*G4) F:#LUT:F=~(~F3*(F1+F2))*F4 0 1VOY_INST/transfer/n3063CLBCLB_R16C7 OXMUX:F YMUX:G G2MUX:G2I F4MUX:F4I G:#LUT:G=~(~G1*G2)*G4 F:#LUT:F=~((~F2+F4)+F1) 0 1VOY_INST/transfer/n3088CLBCLB_R15C10 JXMUX:F YMUX:G G2MUX:G2I F4MUX:F4I G:#LUT:G=~G2*G1 F:#LUT:F=(F3*F1)*~F4*~F2 0 1VOY_INST/transfer/n3102CLBCLB_R16C6 _XMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=G4+~(~(G2+~G3)+G1) F:#LUT:F=~((~F3+F4)+F2) 0 1VOY_INST/transfer/n3104CLBCLB_R13C12 .XMUX:F F4MUX:F4I F:#LUT:F=~(~(F1*F2)*~(F3*F4)) 1VOY_INST/transfer/n873CLBCLB_R16C11 =XMUX:F YMUX:G G2MUX:G2I G:#LUT:G=~(G4*~G2) F:#LUT:F=~(F2*~F1) 0 1VOY_INST/transfer/n475CLBCLB_R18C12 ZXMUX:F YMUX:G G3MUX:G3I G2MUX:G2I F4MUX:F4I G:#LUT:G=(G1+G3)+G2+G4 F:#LUT:F=(F1+F3)+F2+~F4 0 1VOY_INST/transfer/irf_loadCLBCLB_R16C9 cXMUX:F YMUX:H F4MUX:F4I H1:C2 SR:C1 H:#LUT:H=~(~(H1*G)*~(~H1*F)) H0:SR H2:F F:#LUT:F=(F2*F3)*F4*~F1 * 1VOY_INST/transfer/irf_unloadCLBCLB_R17C9 CLKY:CLK DY:DIN XMUX:F YMUX:H F4MUX:F4I YQMUX:QY H1:C4 DIN:C2 SR:C1 H:#LUT:H=~(~(H1*G)*~(~H1*F)) H0:SR H2:F F:#LUT:F=(F4*F3)*~F2*~F1 SRY:RESET * 1 8VOY_INST/transfer/n3041CLBCLB_R15C11 CLKY:CLK DY:G XMUX:F YMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY ECY:EC H1:C3 SR:C4 EC:C2 H:#LUT:H=(F+~H1)+G H0:SR H2:F G:#LUT:G=((G4+G2)+G1)*G3 F:#LUT:F=~((~F1+F4)+F2) SRY:RESET * 0 1 8VOY_INST/transfer/n3062CLBCLB_R15C6 CLKX:CLK XMUX:F YMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:G H1:C1 SR:C4 H:#LUT:H=~(~(G*F)*(H1+G)) H0:SR H2:F G:#LUT:G=~(~G2*((G4+G1)+G3)) F:#LUT:F=~((((F3@F2)+F4)*~(~(~(F3@F2)*F1)*F4))*(F2+F1)) SRX:RESET * 0 1 5VOY_INST/transfer/n3061CLBCLB_R15C7 CLKX:CLK XMUX:F YMUX:H G3MUX:G3I F4MUX:F4I XQMUX:QX DX:G H1:C1 SR:C4 H:#LUT:H=~(((F@G)+H1)*~((F*~G)*H1)) H0:SR H2:F G:#LUT:G=G3 F:#LUT:F=~F1*F4 SRX:RESET * 0 1 5VOY_INST/transfer/n3042 CLBCLB_R12C6 SXMUX:F YMUX:H F4MUX:F4I H1:C3 SR:C1 H:#LUT:H=~((~F+H1)+G) H0:SR H2:F F:#LUT:F=F3*F4 * 1VOY_INST/transfer/n3048 CLBCLB_R13C6 CLKX:CLK CLKY:CLK DY:DIN XMUX:F YMUX:H F4MUX:F4I XQMUX:QX YQMUX:QY DX:G H1:C4 DIN:C2 SR:C1 H:#LUT:H=(G*~F)*H1 H0:SR H2:F G:#LUT:G=G4 F:#LUT:F=(F4+F1)+F3+F2 SRX:RESET SRY:RESET * 0 1 8 5VOY_INST/transfer/n768<0> CLBCLB_R12C10 YXMUX:H F4MUX:F4I H1:C2 SR:C3 H:#LUT:H=F+~(H1+~G) H0:SR H2:F F:#LUT:F=~(~F1*~((F3*F2)*F4)) * 1VOY_INST/transfer/n3282 CLBCLB_R13C7 CLKX:CLK XMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H H1:C2 H:#LUT:H=(H1+F)+G H0:G H2:F G:#LUT:G=~((G3*~(~G4*G2))*~G1) F:#LUT:F=~(~F3*((F1+F2)+F4)) SRX:RESET * 0 1 5VOY_INST/transfer/n3079 CLBCLB_R13C8 CLKX:CLK XMUX:F YMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I XQMUX:QX DX:H H:#LUT:H=~(F*~G) H0:G H2:F G:#LUT:G=G2+~(~(G4+G3)+G1) F:#LUT:F=~(~((~F1+F4)+~F2)+F3) SRX:RESET * 0 1 5VOY_INST/transfer/n3293CLBCLB_R12C8 CLKY:CLK DY:H XMUX:H G3MUX:G3I G2MUX:G2I F4MUX:F4I YQMUX:QY H:#LUT:H=F+G H0:G H2:F G:#LUT:G=(G2+G1)+G4+G3 F:#LUT:F=(F1*F3)*F4*F2 SRY:RESET * 0 1 8n1422CLBCLB_R13C5 sCLKX:CLK CLKY:CLK DY:H XMUX:F XQMUX:QX YQMUX:QY DX:DIN H1:C4 DIN:C2 H:#LUT:H=H1 F:#LUT:F=~F3*F1 SRX:RESET SRY:RESET * 1 5 8VOY_INST/transfer/n3067CLBCLB_R14C5 CLKX:CLK XMUX:F G3MUX:G3I G2MUX:G2I XQMUX:QX DX:H H1:C4 H:#LUT:H=~(~F*(G+H1)) H0:G H2:F G:#LUT:G=~((G1+G4)*~(~G2*G3)) F:#LUT:F=~F2*F3 SRX:RESET * 0 1 5PCI_CORE/PCI_LC/$6I397PRI-CLKBUFGP_BL PWR_VCC_212CLBCLB_R2C23YMUX:G G:#LUT:G=1 0PWR_VCC_216CLBCLB_R15C24XMUX:F F:#LUT:F=1 1PWR_GND_102CLBCLB_R28C5XMUX:F F:#LUT:F=0 1PWR_GND_137CLBCLB_R28C8XMUX:F F:#LUT:F=0 1PCI_CORE/PCI_LC/DR_BUS_INT( QYQG2G2D0 0F3F3 hH1C1 G4G4h' '' p''(p'M(( (M()Q ()Q(x(xxx xx  U U u ( u  (0 0  (a  a a  (  PCI_CORE/PCI_LC/M_DATA1?QXQ G4G4h pH1C2pF3F3XG2G2HDC2 GC2 F4F4G4G4kXF3F3 H1C38 F2F2u(H1C3 G3G3h hG4G4( F1F1XG2G20G3G3D*-E H8  HE HX    5 y H y H  H  H H5 h hq 5 EPXEPX  X  H  HH p h pH pp =8X=8H =8        a h pq a q ( q  qHq qHpp0yPPyPP (   PCI_CORE/PCI_LC/OE_ADIo!HY( TT(!@TT($TT(#pTT($TT(%`TT("TT("TT(TT(xTT( HTT( TT(8TT(TT(TT((TT(TT(TT(TT(`TT(@TT(TT(TT(pTT(TT(TT(TT(PTT(TT( TT( TT( XTT&'h ( X'h (x'h('h ('h(`'h($'h('h('h ( 'h("'h(!@'h('h($'h( 'h(%`'h("'h(#p'h ( 'h(@'h (('h ('h ('h ('h('h ( H'h('h(P'h('h (8'h(p'h ('h( 'h 'h'x'h ('x(Q(Q(PCI_CORE/PCI_LC/ADDR_BE  QXQH1C1 F1F1 G1G1 F4F4 0G2G2 G1G1 H1C4( @H1C1@F3F3 pG1G1 `H1C1rF1F1XXXXX U 0X U  08  5( @ 5      y ( ( y ( ( y (  0y   y   8 m8mmmm m  `m  pm  m   m ADIO<0>  8 POO  POOM POO/& POO" POO# POOv%X POO POO( POO!8 POO(p pDC2 pH1C2"P pH1C2 pF2F2' pD1C2 H p Hp p H' p H p H"P p!8 P H( P H P H%X P H# P H" P H& P H P H P H8 P HADIO<15>  8OO OO;OO6&OO"OO#OO}%XOOOO(OO!8OOl!hG4G4n hDC4hF4F4HG1G1#hD0C40%hH1C4'hG4G4#h%h'hH55h!h h!8(%X#"&8ADIO<14>  OO 8OOPOO5&OO"OO#OO|%XOOOO(OO!8OO1%DC2m F2F2k H1C2xF3F3G2G2'F2F2#D1C2'%#  x55!8(%X#"&8ADIO<13>  OO }8OOEXOO4&OO"OO#OO{%XOOOO(OO!8OOg!G2G2i DC2F4F4@G2G2&xD0C4-%H1C2'G2G2'&x%X X @! !8(%X#"&X8ADIO<12>  8hOO hOOIXhOO3&hOO"hOO#hOOz%XhOOhOO(hOO!8hOO.%@DC4h @F4F4f @H1C4@G4G4hG1G1'@F4F4&HD1C1%&H% %% pp'@p@mhm  pp%@p @p @!8hp(hphp%Xhp#hp"hp&hpXhphp8hpADIO<11>  8HOO zHOO8HOO2&HOO"HOO#HOOy%XHOOHOO(HOO!8HOOb! G4G4d  DC4hG1G1' D0C4*"X H1C4# G4G4 Mh Mmm  ``PP' P# P"X P! P  !8HP(HPHP%XHP#HP"HP&HPHPHP8HPADIO<10>  OO 8OOUOO1&OO"OO#OOx%XOOOO(OO!8OO+"PDC2c F2F2a H1C2G2G2#F2F2'D1C2#"P'  !8(%X#"&8ADIO<9>  8OO OOLOO>&OO"OO#OO%XOOOO(OO!8OO]!G4G4_ DC40G3G3D0C3>F4F4=G4G4G4G4%(G4G4@@ @ 0 @ @ @%(! !8(%X#"&8ADIO<8>  hOO 8hOO>hOO=&hOO"hOO#hOO%XhOOhOO(hOO!8hOODC3?DC2F2F2DC2^ F2F2\ H1C2@G2G2%F2F2hD1C2 u`h u``    "M "M"M"p"M"X"p`"X``%``@` ` `!8h`(h`h`%Xh`#h`"h`&h`h`8h`h`ADIO<7>  8OO vOO7OO<&OO"OO#OO%XOOOO(OO!8OO"HF4F4"XDC4F4F4 D0C39$xH1C1!G4G4H1C4&G4G4!@@@m@m$`m$x$`m"X&"H!8(%X#"&8ADIO<6>  OO 8OOSXOO;&OO"OO#OO%XOOOO(OO!8OO:%DC4"PDC2HDC2F2F2 HF2F2&H`F1F1NF2F2 hD1C2DC2%m&H`%%m% uh u H H(xm (x(xm(x%"P!8(%X#"&X8ADIO<5>  OO y8OOFOO:&OO"OO#OO%XOOOO(OO!8OO"xF3F3"PhDC2D0C36%`H1C4`G4G4&`G4G4 h hhh#- x"x#h#- x#h#h#h&``%`"Ph!8(%X#"&8ADIO<4>  8HOO HOOKXHOO9&HOO"HOO#HOO%XHOOHOO(HOO!8HOO7$8DC1"`hG2G2h F1F1&h`F4F4IhF2F2pD1C4&m&h`&m% $h% y p y M  xM `hx@`h$`-$8$`$`-$h$`%h$h@%h-h -@@"`h@h!8H@(H@H@%XH@#H@"H@&H@XH@H@8H@ADIO<3>  8OO OO=OO8&OO"OO#OO%XOOOO(OO!8OO"hG4G4F2F2'D0C2RF2F23&xH1C4"`G2G2'G4G4'x''-'x'-"M"h""M"-""-'&x"`!8(%X#"&8ADIO<2>  (OO 8(OOR(OO7&(OO"(OO#(OO~%X(OO(OO((OO!8(OO4&DC3"HF4F4"XH1C4F4F4"x(F3F3'F4F4'@D1C3G4G40#-"x(#-##00(-'@(-((00"X' &' 00'0"H!8(0((0(0%X(0#(0"(0&(0(08(0(0ADIO<1>   OO 8 OO?X OO0& OO" OO# OOw%X OO OO( OO!8 OO DC4"X DC4' D0C4 F4F4'x H1C4   "X  '  x   !8  (    %X  #  "  &  X  8    ADIO<31>y  8 OO  OOGX OOF& OO" OO# OO%X OO& OO( OO!8 OO F2F2 F2F2% D0C2 G2G2R F2F2P0 H1C2"` G2G2 "`  % u  u     0     !8  (    %X  #  "  &  X    8  ADIO<30>z  !HOO x8!HOOB!HOOE&!HOO"!HOO#!HOO%X!HOO%!HOO(!HOO!8!HOOQH! G4G4S8! DC4 ! G4G4! G4G4"H! F4F4! F4F4%! D1C4!P%! u!P ! u!P!P!P"H! !P! !PH! !P8! !P! !8!H!P(!H!P!H!P%X!H!P#!H!P"!H!P&!H!P!H!P8!H!P!H!PADIO<29>{  $OO w8$OOC$OOD&$OO"$OO#$OO%X$OO$$OO($OO!8$OO#F4F4#D0C3!#G4G4M(#F4F4K8#H1C4##G4G4$!#$8#@##@#$$#$(#$##!8$$($$$$%X$$#$$"$$&$$$$8$$$$ADIO<28>|  8#hOO #hOOH#hOOC&#hOO"#hOO##hOO%X#hOO##hOO(#hOO!8#hOOL@#G2G2N0#DC2#G2G2##F2F2 #F2F2h#D1C2#`###` # u#`h# u#`#`#`##`@##`0#!8#h#`(#h#`#h#`%X#h#`##h#`"#h#`&#h#`#h#`#h#`8#h#`ADIO<27>}  $OO 8$OOQX$OOB&$OO"$OO#$OO%X$OO"$OO($OO!8$OO$G2G2'$D0C2H $F2F2F0$H1C2"x#G3G3!$G2G2$$"#"x#"% "#"% $$'$$0$$!$$ $!8$$($$$$%X$$#$$"$$&$$X$$8$$$$ADIO<26>~  8%hOO %hOO<%hOOA&%hOO"%hOO#%hOO%X%hOO!%hOO(%hOO!8%hOOGH%@G4G4I8%@DC4&G1G1 %@F4F4'%@D1C4%p %@%p'%@&m&&m% % %p%pH%@%p8%@!8%h%p(%h%p%h%p%X%h%p#%h%p"%h%p&%h%p%h%p%h%p8%h%pADIO<25>  8"OO "OON"OON&"OO""OO#"OO%X"OO."OO("OO!8"OO! G4G4%"(D0C2f "(F2F2d0"(H1C2!"(G2G2"!"("%"("0"(y!! 8"My!8"M"" "(!8""(""""%X""#"""""&""""""8""ADIO<24>  "OO 8"OOA"OOM&"OO""OO #"OO%X"OO-"OO("OO!8"OOeH"G4G4g8"DC4"(#F1F1h"G4G4 "F4F4%"D1C4"h"!#"(#!#!"M!"M""%"" ""H""8"!8""(""""%X""#"""""&""""8""""ADIO<23>  OO 8OOTOOL&OO"OO #OO%XOO,OO(OO!8OO'D0C4a(F4F4_8H1C4 0G1G1"hG4G4'08("h!8(%X#"&8ADIO<22>  8pOO {pOO9pOOK&pOO"pOO #pOO%XpOO+pOO(pOO!8pOO`@G2G2b0DC2 F1F1"@F2F2F2F2'D1C2h"@h'h--hh@h0!8ph(phph%Xph#ph"ph&phphph8phADIO<21>  8 POO  POOJ POOJ& POO" POO # POO%X POO* POO( POO!8 POO' (D0C4\ (F4F4Z (H1C4( (G4G4 (G4G4 X ( X' ( X( ( X ( X (!8 P X( P X P X%X P X# P X" P X& P X P X P X8 P XADIO<20>   OO ~8 OOD OOI& OO" OO # OO%X OO) OO( OO!8 OO[ G2G2] DC2 F2F2 F2F2' D1C2   '       !8  (    %X  #  "  &    8    ADIO<19>  0OO 80OOVX0OOH&0OO"0OO#0OO%X0OO(0OO(0OO!80OO'HD0C4W(HF4F4U8HH1C488G3G3HG4G488uu(Hu-(Hu-u(('H(8H!80((0(0(%X0(#0("0(&0(X0(80(0(ADIO<18>  8OO |OO:OOG&OO"OO#OO%XOO'OO(OO!8OOV@G2G2X0DC2F2F2F2F2'D1C2'@0!8(%X#"&8ADIO<17>  OO 8OO@OO@&OO"OO#OO%XOO OO(OO!8OOHF4F4"PD0C2"(hF1F1C F2F2A0H1C2!u"(h!!u!"PM HM 0 !8(%X#"&8ADIO<16>  80OO 0OOO0OO?&0OO"0OO#0OO%X0OO0OO(0OO!80OOBHG4G4D8DC4 F4F4"XD1C4hG4G48h8 8"X8H88!808(0808%X08#08"08&080808808PCI_CORE/PCI_LC/FRAME-(QI2 (F1F1 PG1G1 @DC1}F1F1|0G1G19XF2F27@ H1C1<hF1F1;G1G1XF1F1WG1G1F4F4L G2G2sXF2F2r@G1G1yhF1F1xG1G1F2F2XPF2F2@G1G10F3F3G1G1" F1F1R`F4F4hF1F1G1G1 xG3G3G3G3Xxxyxyxxx 8  P8    MMMM  x8   xx  M M MM008 hyXPy8  (8 X9]X9Ep]EpqpqpXXx(x@  @@ x9x9XXX=XMM0MMepMepppp=pP!=P!@y`y ( ```M`M`(`x hx x yy@   hyHXyH0@M  yXyMMx(x` @` @(@  ( ( PCI_CORE/PCI_LC/GNT- 8(QI2XF4F4 H1C3 G3G3&@ HF1F1 G4G4" F2F2R@F1F18F2F2 G4G4X  X y `y ` (   P (  P p(   p p xxp p @E 8 E     HH    p p @ H8(p PCI_CORE/PCI_LC/INITIATOR_CNTL/GNT_D_I-  xFXD hF4F40 PF3F30 xG3G3"0 F3F3! (G4G4@XF2F2F1 yXyx   ( x x 0 xx 0 Px 0  x   h x PCI_CORE/PCI_LC/IRDY-SHQXQ<F4F4;G4G4X0F3F3W0G3G3L(G4G4j@G2G2rG4G4y0pF3F3F1F1 G2G2`F4F4|G2G2 0F2F2" (F4F4RXF2F2HG4G4!H p 0 p (  p a@5yhyhu0Hu0puH0H000yX0(0 10y`Hyaa1155aHaPCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/M_DATA_AND_DR_BUS t$@ 8HX 0 H1C3 0 y  @ 8y PCI_CORE/PCI_LC/I_IDLE_INT# QXQ 0F2F2 0G2G2F3F3  GC2Mx Mx xx  x x      0  0  ( (  ( (    PCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/M_DATA_C1 u FX$p H1C4 uhp   uhPCI_CORE/PCI_LC/INITIATOR_CNTL/FRAMEQ- QXQ F2F2` F4F4% G4G4F1F1?xG2G2 `     (   (x  x Ux E x U E y xy  y  8 8 8 8PCI_CORE/PCI_LC/TRDY- QYQ F3F3 G4G4X pF2F2 G3G3%@ pG1G1k(F4F4?G3G3(-p(-pppmpmp p pppMpMppphh Up  U pp   p U@ py U@ Uy 0@ U  0  hhEhEh E( (E(((y((@y( X p h  H h@ 5 H@ 5@@    PCI_CORE/PCI_LC/STOP- (QI2pF3F3p8G3G3 F4F4 G1G1@ G1G1@ F1F1%x 0G2G2?G4G4vHG4G4yHy  XXXHH8  @    @  ` `x 0` ``  P P8 8 8 p88 p8 y(yPCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO   FX F1F1 F3F3% xG3G3C PG1G1@ (F4F480F3F3$` hF4F4?@G1G1G2G2F1(H  H y y    X U x X Uh 0 q h 0` 5 P` 5     80HH p 0 p 0 0E  0E q q  y ` h y   @ @ @  @E @ ( E    U U   %@%@% @@@  %  % PCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/EQ-C k GY& PF3F3 U P  U  REQUEST(QXQ&X 0F2F2 GC3rHF4F4 pG1G1 G3G3YDC49    0 0y 0y 0 0 0 0 0E 0E 0 0  0  e  e e ee eP (P  ( X 0 U  UH(H( ( (- ( ( (- ( ( ( E E  "M E""Mh"E"p"`h"#@p"`#@  @@(h ((h   p HhH  hH  uu5E5(EPCI_CLK OUTO# CKK XCKKA@CKK XCKK CKK XCKKd CKKi CKK_ CKKn 8CKK 8CKKU 8CKK[ 8CKK8HCKK*8CKK/CKK3H8CKK<HCKKx@CKKCKKhCKKh CKKh CKK"(#CKK #CKK:$pCKK7$0CKK4&HCKK(H CKK?CKK.$CKK1$8CKK+"(CKKbCKK] CKKXCKKDCKKg"PCKKN#CKKS CKKI%CKKlxCKKqCKK CKK#CKKh XCKK CKKhxCKK"("PCKK$#CKK# CKK"(%CKK#pCKK$CKK'pCKK XCKK$PCKK'CKK'PCKK$CKK CKKxCKKCKK"(CKK"(CKK"( CKK"(pCKK$ XCKK &H CKK$ CKK# XCKK$CKK$ CKK# CKK$CKK$xCKK#CKK"( 8CKK# 8CKK#xCKKxCKK CKK'$ 8CKK%#xCKK@xCKKHxCKK(CKK( CKK{CKKJ(XCKKp(CKKlCKKf xCKKhxCKKbCKKd CKKhxCKKXCKKCKK%@ 8CKK CKK##CKK"( CKK %CKK "PCKKh CKK"(CKKhCKK"(xCKK CKK'CKK'8CKK#CKK$CKK&H0CKK&HpCKK'CKK CKKhXCKK 8CKKHCKK XCKK CKKz&H#CKK}&H CKKw&H%CKKt&H"PCKKe&HxCKKh&H CKKk&HCKKb&HCKKV&HCKKY&H8CKKhCKKCKK!XCKKCKKXCKK CKK&hCKK( 8CKK CKK|h 8CKK CKK 8CKKCKK8CKKCKKCKK  CKK CKK#CKK#CKK CKK CKK("PCKK@ CKK&pCKK%CKK"(0CKKh0CKK pCKKhpCKKCKK8CKKHCKKCKKhCKKCKKPCKK(xCKKPCKK CKK(CKK(PCKKCKK8CKK@8CKK(CKKCKKCKK(CKK8CKK0CKKCKKpCKK CKKCKKJCKKOCKKS0CKKW&pCKKHxCKKCKK( %CKK 'CKK('CKK&pCKK(CKKH CKK CKKCKKCKKCKKhCKK5CKK1 CKK-hCKK% 0CKK CKK`CKK 8CKK` CKKCKKCKKpCKK `KK "PKKKK"PKK0KKKK#KK `KKKK8KK%KKKKKKxKK KKKK 0CKKCKKCKKCKK @CKK pCKKpCKK @CKK @CKK @pCKK'xCKK1 @xCKK @CKK# CKK4 CKK,CKKCKK PCKK%CKK@'CKK&pCKK~ CKK{ XCKKx` XCKKuCKKrCKKo'CKKl CKK`CKK 0CKK #CKK CKKi CKK AxCKK @XCKK XCKK$ CKK@#CKK'%CKK$"PCKK'CKK' CKK'CKK"(CKK#8CKK&HCKK'CKK@CKK@CKK @CKK'0CKK' CKK "PCKK CKK K CKK HCKK V xCKK O `8CKK S xCKK PCKK PCKK PCKK CKK kCKK CKK D xCKK *H"PCKK %0CKK  CKK >(CKK 9H CKK 4H0CKK / CKK Z CKK ^ ` CKK b CKK f CKKxCKK CKK)0 hCKIK )0hCKIK)00CKIK)0CKIK)0CKIK)0CKIK")0CKIK')0CKIK,)0CKIK1)0 (CKIK6)0XCKIK;)0 CKIK@)0 CKIKE)0 8CKIKJ)0CKIKO)0CKIKT)0"CKIKY)0"0CKIK^)0'CKIKc)0&PCKIKh)0#CKIKm)0#CKIKr)0CKIKw)0! CKIK|)0 CKIK)0CKIK)0pCKIK)0`CKIK)0CKIK)0PCKIK)0CKIK)0 CKIKCKIK CKIK!CKIK"8CKIKCKIKCKIK0CKIKCKIKCKIKCKOKCKIK+#CKK."(CKK1 xCKK4$CKK_hPCKKdhCKKih8CKKqhCKK|CKKCKK%CKK#CKK"PCKK&pCKKCKK 8CKK8CKK CKK(xCKK 8CKK CKK CKKhCKK@ CKKK 8CKKOCKKS@CKKYCKKsCKK( CKK CKK:@CKKACKKGCKKNCKKTHCKKXCKK]CKK@ CKKH 8CKKHPCKK  8CKK CKK[ XCKKV CKK `xCKK 0CKK @PCKK @8CKKCK5eCK8jCK8`CK8oCK8CK5VCK5+CK54CK5=CK5CK8CK8CK8CK5CK5CK8;CK88CK85CK8)CK8@CK8/CK52CK5,CK8cCK8^CK5YCK5ECK8hCK5OCK8TCK5JCK5mCK8rCK8CK5CK5CK8CK5CK5CK8CK8CK5CK5CK8CK5CK5CK5CK5CK8CK5CK5CK5CK8CK8CK5CK5CK8CK5CK8CK5!CK8CK8CK5CK8CK5CK8CK5CK5CK5CK5CK5CK8CK8CK5CK5CK5CK5CK8CK8CK8CK8CK8CK8{CK8~CK8xCK5uCK8fCK8iCK5lCK8cCK8WCK8ZCK8CK8CK5CK8CK8 CK8CK8CK5CK8CK8CK5CK8CK8CK8KCK8PCK8TCK8XCK5CK5CK5)CK8!CK8CK8CK8CK5CK5CK5 CK8CK5CK8CK56CK52CK5.CK5&CK8CK8CK5CK8CK5CK5CK8K0K0K0K0K0K0K0K0K0K0K0K0K0K0K0K0CK8CK5CK5CK5CK5(CK5CK5$CK5-CK5CK5CK5CK8CK5|CK8yCK8vCK8sCK8pCK8mCK5CK5CK8CK5CK5jCK5CK8K8K8CK8K8K8CK5K5K5CK5K5K5CK5K5K5CK5K5K5CK5K5K5CK8K8K8CK5K5K5CK8K8K8CK5K5K5CK8K8K8CK8K8K8CK5K5K5CK5K5K5 CK5 K5 K5CK5K5K5CK5K5K5CK5 WCK5 CK5 +CK8 &CK5 !CK5 ?CK5 :CK5 5CK5 0CK5 [CK5 _CK8 cCK8 gCK8CK8`CK8eCK8jCK8rCK8}CK8CK8CK8CK8CK8CK8CK5tCK5\CK5WCK5 CK8 % @8 % @P%0 0% `x% p% X%% 8%HP%H 8%@ %X%%H%X%%%@ % %( %%%@P%P% 8%@ 8%hP%P% p% 8%(x% %8% 8%x%&px%"Px%#x%%x%x%8%h8%h88%h8%hP$%$ % x!%"(#X%#%%%8%%0%%!%"8 %! % x%)H)0 )H)0)H)0P)H)0)H)0`)H)0p)H)0)H)0 )H)0! )H)0)H)0#)H)0#)H)0&P)H)0')H)0"0)H)0")H)0)H)0)H)0 8)H)0 )H)0 )H)0X)H)0 ()H)0)H)0)H)0)H)0)H)0)H)0)H)00)H)0h)H)0 h)H% P%x%  %  0% ` % % %H0%H %(x% %0%H"P% x%% %  % P p% P%P p% x 0% `8 % x% %  %  p% "P'x%' 'x%'0%@%@%@'x%'&%&H#X%#8!%"('x%''x%' 'x%'$%$"P'x%'%%@#$%$ p% X % @X%x % p% p%% #% 00%`% %'%%%0%` X % X % %&p%@'P%%% PP%P%% %% % @ % @xP%x % @p % @ % @%p % p % @ %%P%P%% % 0% %  %%x%P%%%%8P% 0% `%#%%0%"P%% "P 0% ` 0%%p%x%0%` x% 80%`0%x% % 08%h % P%8%h%%P%%%%H %(P%&p%('%'% %x%%Hx%&px%0x%x%X%% X%p%X%0X%8%(X%X%%(%@8%8%%(P%(P% %P%(xx%Px%8%h%%Hx%8%8%hp % p8%h0!%"(0X%%X%&p%@ %("P% X% %#X%#X%% %P%P%8P%X% 8% 8%h 8% %( 88%h% X%X%%Xx%8%h&%&H8&%&H&%&H&%&H&%&H &%&Hx&%&H"P&%&H%&%&H &%&H#% % X%H% 88%hX% 'x%'&%&Hp&%&H0$%$#X%#'x%'8'x%' % !%"(x8%h!%"(8%h % "P % %!%"( #X%##% %@ 8%%X8%hx% P%P%xP%% x%%(%(X%%( %(%%Hx%@x#X%#x$%$ 8 % x%x#X%#x#X%# 8!%"( 8#X%#$%$x$%$#X%# $%$ $%$#X%# X$%$ &%&H $%$ X!%"(p!%"( !%"(!%"(%%x % $%$'x%'P'x%'$%$P% X'x%'p'x%$%$#X%#p!%"(%#X%# $%$#!%"("P8%hx % 8%h X#X%##X%X% X%%%x%%% %#%"P%%x% %!%"($%$8$%$x%x%%H &%&H&%$%$0$%$p$% % #!%"(#!%8%h 8%h 8%h8%%%@%H%H8%%%8%%H%% 8% 8% 8% % 8 %  %  %  %% X%% % X%%@%% X% %PCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/EQN-B  HX `H1C1p U `p U  PCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/EQN_F  HY pG1G1   p y0My00M0IX 0IX 0 0 0m 0 0 0m 0PCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/EQN-E  GY HF1F1H U H H UPCI_CORE/PCI_LC/INITIATOR_CNTL/NS_MDATA &>HYDC4AD*HHyHyHPCI_CORE/PCI_LC/M_DATA_INT@AHQXQu@F1F1>@H1C1 @0pF3F3@uu0pu@HPCI_CORE/PCI_LC/IRDYQ- QXQ pF2F2 pDC2@ F1F1# XG3G3  @    y  5 X  p  p  y   5y   5PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/Q2 ( 0QXQp G3G3 HF1F18 0F2F2 p  E 8 U H8 U U( 0 UE 8 0( 0E PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1N2716 pGY PF3F3 xG3G3@ F4F4 U x U PE UE@ EpPCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/Q1  QYQp PF3F3 pG1G1 Up P UE  UE   U U p  U  PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1N2609  FX F4F4 G4G4 HF1F1F1   U U H U   PCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1N2604 ( QXQ F1F1X G2G2  h ( ( h (E XX ( E XPCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/Q0  0QXQ` (G4G4 0F2F2 0G2G2 p` ( p 0 p 0 0 pPCI_CORE/PCI_LC/DEVSEL- (QI2 F1F1 0G1G1 F2F2 F1F1 G1G1$#pF3F3 G1G1 G2G2$1#p$1$1H$1H#=`"00#=`"P0"00MP"P0HMP(H Uh  U `h i  `-  - i (i i  i  i 0i ii i i (i (ihihiii!iU!XUX`(`PCI_CORE/PCI_LC/INITIATOR_CNTL/ADDR FXp F3F3D1 p      U  U  PCI_CORE/PCI_LC/INITIATOR_CNTL/S_TAR/NS_S_TAR 0GYqG2G2H0HPCI_CORE/PCI_LC/STOP_I-OUTI1C G2G2 pG2G2$ 0F3F3"p hH1C4 pG2G2 G4G4IN0 0)H)H) ) ) 0 -  p)- P  P @ ) @ p h  p )))))H)H``PCI_CORE/PCI_LC/IFRAME- (QYQ F3F3C0 XG3G3uXF2F2 GC2)(F1F1(PG1G1,8H1C31HPG1G10H@H1C15pH1C2:H0G1G19pH1C2 G4G4$@ (F1F1#@ PG1G1F2F2G1G1DC2/(PeXH0H@HP8eXeX(u(u(((XXX@@@  ( mp(m(M M pHM HH UH Uy  (y  5@ ( 5@ P 50 X  5  X X X  X  88 8H    a  a  H a H  (   ( PCI_CORE/PCI_LC/INITIATOR_CNTL/S_TAR Zt(HQYQsHDC4pG5H(HPCI_CORE/PCI_LC/CE3_1e "x GYe!CEC3j! CEC3`!CEC3o!HCEC3dCE5iCE5_CE5nCE5! ! ! !!!!!M!M!!!!!5!5!H!5!!"M8#u"M8#u##### U"x # UPCI_CORE/PCI_LC/INITIATOR_CNTL/REG_0CH14 :o QXQ!8II9xHGC4UxHU h!P h !P !8PCI_CORE/PCI_LC/INITIATOR_CNTL/REG_0CH12 8j QXQ!8XII1G2G2UPPUP (P!h ("@!h"@!( !( !8XPCI_CORE/PCI_LC/INITIATOR_CNTL/REG_0CH10 6e QXQ!8II,GC1pp5pp5Q P5Q  P5   !8PCI_CORE/PCI_LC/INITIATOR_CNTL/REG_0CH8 R` QXQ!8xII)X0F3F3 X0p p   !  ! !8xPCI_CORE/PCI_LC/INITIATOR_CNTL/REG_0CH11 7d! QYQ!88II0pGC2 mpm-- !5 !!5! !! !88PCI_CORE/PCI_LC/INITIATOR_CNTL/REG_0CH13 9i!@QYQ!8II5xGC4 0x000 u!h u!q!h !@!q!@!8PCI_CORE/PCI_LC/INITIATOR_CNTL/REG_0CH9 S_!QYQ!8II(HhG4G4-Hh- !M !!M!!!!8PCI_CORE/PCI_LC/INITIATOR_CNTL/REG_0CH15 ;n!hQYQ!8II:8G3G3 888uu-- !5 !h!5!h!8PCI_CORE/PCI_LC/NS_REQ-\pHX(DO `( H`  H     H 0 H000PCI_CORE/PCI_LC/TRDY_I-  0(OUTI2 F1F1 G1G1C hG4G4 H1C3F3F3# hG4G4H hF4F4 PF3F3      5 5uuM0M000yy000(  hy  y  h y M     M M H hM     P0( TIME_OUTxHQYQx G2G2v8G3G3upHGC4q xx q x x%  x%% %yXypHHX8HXCOMPLETE @GY (G4G4v@0G1G1 y  ( Uy  UXHX@H@0@PCI_CORE/PCI_LC/INITIATOR_CNTL/FRAME/EQN-A {@ HX0 G3G3 0 @  PCI_CORE/PCI_LC/INITIATOR_CNTL/FRAME/EQN-B | HY G4G4 8 H  8 H PCI_CORE/PCI_LC/NS_FRAME-Z0 HYh DC2D* xh 0  xPCI_CORE/PCI_LC/INITIATOR_CNTL/IRDY/EQN-A @ xHX$X F2F2ypX  5yp  5@ x PCI_CORE/PCI_LC/INITIATOR_CNTL/IRDY/DONE v@GY#x G2G2 yxx xyx 5x  5   U  U 0 00@0PCI_CORE/PCI_LC/NS_IRDY-[" `HY (F1F1 PG1G1%D* ( P ( ( ` (PCI_CORE/PCI_LC/GNT_I-8OUTI1 F1F1vxG2G2INh  h h 5h 5hhhuhuhhhh00x==@@  ==@@MM8PCI_CORE/PCI_LC/INITIATOR_CNTL/REQ/S_TARQ sQXQp H1C18 8PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/SLOT  [ hQYQPhH1C2G8 pF2F2J hF4F4I hG4G4NF1F1MG2G2pF3F3 G1G1h-E 8 p-i-hhphP P  h  h  h E E  5 h 5i  hiPCI_CORE/PCI_LC/OE_AD_T_LTvf(PQXQG F1F1e hF1F1   `` h(P`PCI_CORE/PCI_LC/OE_ADO_LTr E xHX#)0(TRIT()0TRIT-)0 TRIT2)0hTRIT<)0 TRITA)0 HTRITF)0TRITK)0TRIT ) )0 ) )0 ) )0 H) )0) )0) )0h) )0) )0(E 8) 8E 8 8 x PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/START_AD R@FXLH1C3H H1C2Ep H1C3D F2F2XG2G2HH1C2PF1 p   5 5hHh u8 @ u8@@@ E0XE0H0E0000@PCI_CORE/PCI_LC/EOT Vh hQYQTp 0F3F3Up HDC3LGC1H @GC1PpGC4EH pGC2pGC3 G2G2yp H ph h p yy 5 5 5 @ 5p H 5p 0h h 5PCI_CORE/PCI_LC/OE_CBExPHYTRIT TRIT!TRIT"HTRIT"!"H"!!! !!!!!!ppE8E8``qqPCI_CORE/PCI_LC/OE_ADO_Ts H HXP)0"pTRITU)0"@TRITZ)0'TRIT_)0&`TRITd)0#TRITi)0#TRITs)0!TRITx)0 TRIT)!5)0 )!5)0!)!5)0"@)!5)0"p)#)!5)#)0#)#)0#(%)#)%U)0&`(%)%U'&H(%'&'&H''&)()0')((x)M(x)M(x'(x'' u'' u'  u   uPCI_CORE/PCI_LC/OE_ADO_Bp LHX)0 XTRIT7)0 TRITn)0 TRIT})0TRIT)0TRIT)0PTRIT)0TRIT)0`TRIT)))0))0 ))0P))0))*8))U*8)U)0`)U)0)U)))()Q`)()Q`)))])]) *8 ) ) *8 ) )0 )A ) )A (` 5(` 5(`(`''''P'M'P))0 X))])Q@)])Q@'U0&P'U0&xH&PM&xHHMHyy(HH(HHPuPu  PCI_CORE/PCI_LC/OE_AD_T_TwhPQXQJ F2F2ghF1F1 %`%`hP%`PCI_CORE/PCI_LC/OE_AD_T_BtbQXQNF3F3aF2F2``=H=H00PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/NS B0 `HYZ 0F3F3 5 0E  5 E   0 ` PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/SET_SLOT pGYB hH1C4  h pPCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/FSLOT K hQYQD (F1F1I XG3G3MG3G3pp 5pp 5 (p 5 X hp 5PCI_CORE/PCI_LC/OE_ADO_LBq  HX)0XTRIT )0@TRIT)0TRIT)0pTRIT)0TRIT)0TRIT)0TRIT)0TRITD*))0)) ) )0@) )0p*0) * *0)]* )]* @*8* @)(*8)()0X)()0)()0)()))0))0))*8)* *8* * (*8* ()*8)M))M(x(x u uuuE  E PCI_CORE/PCI_LC/OE_AD_T_LBudhHQYQc8F2F28F2F2-08(-0(hHE@8uE@uhHPCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/EOT_LIVE  GYT@ hF4F4E @ h E  (   (PCI_CORE/PCI_LC/FRAME_I-OUTI1H1C1`H1C1 HF1F1 G3G3! G1G1IN`H))  ) ) () ( ) ()h)h))))PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/EOT_DL U( QXQT8 F2F2E8 ( EPCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/START_OE_FRAME XFXI G2G2MG4G4 ``PCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/REQUESTQ YQXQXF2F2PCI_CORE/PCI_LC/OE_FRAMEyMGYTRITOD0 !!MMuuhhPCI_CORE/PCI_LC/OE_IRDYzOQXQ`TRIT@`@HHPCI_CORE/PCI_LC/INITIATOR_CNTL/CNT_VAL5 8PQXQ7`F2F26G2G2;HF1F1wF3F3@@hMhhxMhhxMHhHMH HH HPHm m `m Pm PCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/T4 H-8GY7hF4F46G3G3;hHF4F41hG4G4mhm55 hmHmHhmHuu h8 hmhHumu8PCI_CORE/PCI_LC/INITIATOR_CNTL/CNT_VAL4 4hQYQ'F3F37F3F36HG1G1;F3F31XG3G3HeHeHHHPP@8@885885Xh85PCI_CORE/PCI_LC/INITIATOR_CNTL/CNT_VAL1 +PhQYQ'`F2F2.F1F1-G1G12hhF4F4(XXG3G3 X`X5XXPh5hhPhPCI_CORE/PCI_LC/INITIATOR_CNTL/CNT_VAL0 *QXQ) F2F2(@G2G2.8F3F3-8G3G320F3F3 8-x@-x 505x-xx-x8mm55PCI_CORE/PCI_LC/INITIATOR_CNTL/CNT_VAL2 /0QYQ'HF1F1.F2F2- G2G22H(F1F1     05H(5 p0 pH0PCI_CORE/PCI_LC/INITIATOR_CNTL/CNT_VAL3 3PQXQ'hF4F42`F2F2-(G4G4 x(mx xmx`mxhPmxPCI_CORE/PCI_LC/INITIATOR_CNTL/CNT_VAL6 <PQXQ;`F2F2wXF2F26G4G4 yXXXyXMXXXMX XXmX XmX`mXPmXPCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/T7 K6GY:G2G2eePCI_CORE/PCI_LC/INITIATOR_CNTL/CNT_VAL7 =HQYQw`HF4F4:HG4G4y`HyI!I!@!!H!@ !H --mmHHmPCI_CORE/PCI_LC/INITIATOR_CNTL/$2N2644/4.0/2.1E'HFXw@F1F1 @uyuyMM  HS_CBE<0>X XFXHF2F2G4G4! XG3G3! PF3F3"( HF1F1 F2F2!G2G28XG3G3! G2G2XG3G3D1((-((P-(0HPMx0H 0! ! 0! ! ! ! !@"( H!@! P!@! ! !MxH Mx  HM HM H H  --(u!! X! X X! X  XXXMXMh5Xh5 m m  8Xm (umX(uS_CBE<3>U "( FX! G2G2 F4F4!G4G40 F3F30F3F37HF4F4G4G4 G2G2!G4G4"(0G1G1! G3G34G4G4(mm  MMHM((yhyh0 EE  (5E (5pp P PP p! p  p! ! 5 p!"(0!! P!! P!! U! U!  0 y! y! y  ( 5y ( 5E! 5E! ! 5! ! ! ! "( ! S_CBE<2>V "(XFX PG1G1 F1F1 F1F1 G1G1 F1F1 G1G1(hF1F1(G1G1( F4F4PG1G1G3G3:@@-@@-MMyyXPXP  PP u u 0  0  - -  P P  P P  P   H  H H 5-( -pp-- - P-0PP-0P- "M "(X"M P ( u (u H 5 P H 5 H ( (hM( uM(u"(X H  H  H 0!u 0"(X!uS_CBE<1>W"(FX! hG4G4"(F1F1!F3F3!G3G3hF4F4 (F2F2@(G2G2(hG4G4! G4G4"( pG1G1! (G4G4>F1F1F3F3G3G3'-@(- (-E888M8Mxx ! h !H MMEHHHMHMHHE ! ( !H h (M (M ( ! !H !!!!!!u!u!!H "( pE(h (E (  !H !H "( !H ! "(!M_CBE<1>pFX DPDC4j@PG2G2nF1-@P- - M-ME(ME(Myy` `E  E P E    PCI_CORE/PCI_LC/INITIATOR_CNTL/M_ADDR_LIVE !0 GY G2G2 8  8y 8 y 80  PCI_CORE/PCI_LC/IRDY_I- H(OUTI2(hF1F1(G1G1 F4F4x 0F3F3 HF1F10F2F2 0F2F20G2G2!0 G3G3QxG2G2 P U HP UP P y X 5x 0 5uu0E0y8xy8 u( X  Xy Xy X  0 H( u(huM00MP000EP0E0Eqq0q0H(qPCI_CORE/PCI_LC/INITIATOR_CNTL/$1N2635 0hQYQhF4F4 h0h PCI_CORE/PCI_LC/SET13FX!#G2G2F1 M"!#h"MM"h"M !0!  !8!0! 8!  55  PCI_CORE/PCI_LC/INITIATOR_CNTL/ADDRQ (QYQhCEC4h55qqqqa0a0H(HPCI_CORE/PCI_LC/SET12p@GY!#F3F3 !q#@!#!q&!q#@!&!q& &!&& & u&&&m u&&m%%pp pp Ep@EPCI_CORE/PCI_LC/INITIATOR_CNTL/IRDYQQ-  QYQx pG2G2y x py  p   p PCI_CORE/PCI_LC/IPWIN GY F4F4I 0 I 0 0  0 PCI_CORE/PCI_LC/M_ENABLEBhFX&` F4F4rF2F2 (G4G4I PG1G1MG1G1 F1 5 P 5  (8 U 88 U8p  py` y     8  @` @`008 8 8(8( 8 8M8M8hPCI_CORE/PCI_LC/CE1_0\ 8FXh CEC1CEC4CE5CE5 #E $X#E$X$$#E0#E0!!!!!! !  !    X U X U 8  h u p 8 u pSTATUS<6>pQXQIIX F3F3 X  @   @hX hhhXuhhu55MM m mppSTATUS<2>QYQIIF3F3 X XPCI_CORE/PCI_LC/CE1_1]! GYCEC2   ! STATUS<8>QYQxIIG3G3 xMM55 8u 8u 8 8 8 8 X XSTATUS<0>p pQXQ `IIp p `STATUS<1> QYQ IIQ&HF1F1 %&H%8%% %8M %  UM  U  - P P- P  P  STATUS<31>p QXQ& II! G4G4!! ! p  p  PCI_CORE/PCI_LC/CE1_3_!GY"x#F3F3"`#G2G2 #F4F4 #G1G1 F2F2h!G1G1 h!  @ @ @ @ @ x"#"x#"% "#"% "M%"M% % %%p&H%&p&H x&"M#"`#"M# # # x $` # $` x x # x x h x ! hSTATUS<30>! QYQ%!8IIh F1F1 h ! ! !! !8STATUS<22>pQXQ+IIhF1F1hppPCI_CORE/PCI_LC/CE1_2^ FXF3F3 H  HSTATUS<28> #QXQ##xII #F1F1##x## ## # # @# # # @#STATUS<24>"0#QXQ-"II"@#F2F2 "M""M! @ ! @ @ h @  h u  !q! u #5"!q!#5""@#"0##5"PCI_CORE/PCI_LC/SET8X HY"H#F4F4"M$p"H#"M$p $p P% $p P% Q% %Q%h% %h% %%@@ @ @ QQ -  U- U X  STATUS<27>"p#QYQ"$II"h#G4G4p$$$p$%$$!$%$"p#!$"M$h"h#"p#"M$hSTATUS<29>!#QYQ$#II!#G3G3##$H# $H$H!# $H!#!#!#!#PCI_CORE/PCI_LC/SHADOW_CE$x HY;%8CEC38%CEC25&HCEC1)H CEC1?CEC4.%8 CEC31$@CEC1,"(CEC1cXCEC3] CEC1X CEC1ECEC1gX"`CEC3OX#CEC3SX!CEC3IX% CEC3mCEC1q0CEC3@CE8hCE5TCE5NCE54CE5/CE5DCE5JCE5(CE52CE5+CE5bCE5:CE57CE5^CE5YCE5lCE5` xX! #8 x #8X"` #8X# % #8 %X% 'P %#E'P 'P&'P#E'P&&&'P&H&x&&%&H&x&m%&8&m&H(&8%&H(% h%% h$H$$H%8$%X%8%X%8%X&H%X%%%8 %$0$0!0!x!0( u((!q@ u(!x!q@!p!x!M!p!M!!!5"0!5$p5$@$p5##"M"0"M! "("0! "(@"0!q"(@!q!q!q u0 u0H0uuy  y  U  UM  M x U  x UHXH p 8 p 0 8-  0- ` `  ( (m (m ( (xxxuxuxux0  0 m Xm X Xp  X p    ( x x``m`m` ` `XXX U X U `  U ` U  M Hx M H H   ( ( ( (M (x M (PCI_CORE/PCI_LC/SHADOW6:%0QYQ&hF4F4&m8&h&m8%m%0%mPCI_CORE/PCI_LC/SHADOW47%0`QYQ&H F1F1%-&H %0`%-PCI_CORE/PCI_LC/SHADOW24&QYQ'F1F1'8'&'8PCI_CORE/PCI_LC/SHADOW0( QYQ F1F1    8   8 PCI_CORE/PCI_LC/SHADOW35&PQXQ'G2G2'U'&P'UPCI_CORE/PCI_LC/SHADOW58$QXQ&G2G2%H&$%HPCI_CORE/PCI_LC/SHADOW1)P pQXQ8 F3F3 8 P p PCI_CORE/PCI_LC/SHADOW7;$HQXQ&HG2G2&m&H&m% $H% PCI_CORE/PCI_LC/SHADOW8?QYQ%F4F4% h%% h#h#h"Mh"Mh h hhh-h-hhhPCI_CORE/PCI_LC/SHADOW11,"0QXQ#G2G2#5#"0#5PCI_CORE/PCI_LC/SHADOW12.$QXQ'F1F1&'&%($%(PCI_CORE/PCI_LC/SHADOW141$QXQ'(F1F1'5'('5&mx&mx% x$% xPCI_CORE/PCI_LC/SHADOW10+"p QYQ#F1F1##"p #PCI_CORE/PCI_LC/SHADOW13/%0@QYQ'@G4G4'e'@'e$%0@$PCI_CORE/PCI_LC/SHADOW9@QXQ% G2G2%% %#5#5 u uPCI_CORE/PCI_LC/SHADOW152%0hQYQ'G2G2'''&m%5&m%0h%5PCI_CORE/PCI_LC/SHADOW22bPQYQ"HF4F4"Mp"H"Mp p ppp-pP-pPCI_CORE/PCI_LC/SHADOW20] QXQh F1F1 h     PCI_CORE/PCI_LC/SHADOW18XQXQhF1F1h-x-xPCI_CORE/PCI_LC/SHADOW16DPQYQ F1F1 X  X@@PPCI_CORE/PCI_LC/SHADOW19YPHQYQh0G1G1h0PHPCI_CORE/PCI_LC/SHADOW21^ (QYQ G2G2 `  `- ` - ` ( PCI_CORE/PCI_LC/SHADOW17EQXQ"HF4F4"M("H"M( ( (((-(-(PCI_CORE/PCI_LC/SHADOW23cQXQ"`G2G2! "`!   PCI_CORE/PCI_LC/SHADOW24g"(QXQ "(F2F2!!h "(!!h!h"(!hPCI_CORE/PCI_LC/SHADOW27JP%@QYQ!%@G4G4 u%!%@ u%$P%@$PCI_CORE/PCI_LC/SHADOW28NP#QYQ##F4F4#5$(###5$( u$( u$(#@P##@PCI_CORE/PCI_LC/SHADOW30S QXQ"( F1F1! "( ! 0 0 0 0- 0 - 0PCI_CORE/PCI_LC/SHADOW26I$QXQ %F1F1 & % &$($$(PCI_CORE/PCI_LC/SHADOW29O#QXQ##G2G2$"##$"!"!""#"PCI_CORE/PCI_LC/SHADOW25hP"QYQ "hG1G1 ("M "h ("M""-"P"-"PCI_CORE/PCI_LC/SHADOW31TP! QYQ"(!G1G1! x"(!! x ! !!P! !PCI_CORE/PCI_LC/M_CBE_INT2<jXGY G1G1mD0   -X-PCI_CORE/PCI_LC/SHADOW_CBE2mPQXQ!G2G2 8! 8 Pu Pu-P-PCI_CORE/PCI_LC/M_CBE_INT0:iXHX G1G1lD*(  ( huXhuPCI_CORE/PCI_LC/SHADOW_CBE0lPQYQ@ pG2G2- @ p-  -0 P-0PCI_CORE/PCI_LC/M_CBE_INT1;n0HY G1G1qD*X  X X 5X 5XXXuXuPPMMyuyu   H  H0PCI_CORE/PCI_LC/SHADOW_CBE1q(QYQ pG2G2  pm  m  m  m IH HIH H M  M   h  ` h  `     @   @}X }XEEppp(pPCI_CORE/PCI_LC/PCI-PAR/M_DATAQ QYQ DC4 `  `M `M ` ` `X  X PCI_CORE/PCI_LC/PCI-PAR/$2N2767x QYQ0 GC2 x0  x x xE x E xPCI_CORE/PCI_LC/AD3 )0QI1(II# F1F1# G1G1% pF2F2% F2F2 &` pF2F2 % F4F4# F4F4%F2F2%F2F2#H1C1@# # # # # "M "( x"M "x "( x# "x % x%&mx% x&P&mx&xH&P&m&xH'&m)i')i( # # # # % # &m % '( 5&m ' '( 5' 0' ( ' 0$ # $ % $ %'U $ )Q 'U )Q ) =*8 A) =*! (*8 A( *! (' `( &m &` p% % p&m % ' &m ' `' ' X' `( ' X###5###5$`#$`M$`$`$`M% `$`&m`% `'`&m`( '`(m( )(m)0)% X% &m X% X' X&m X)i X' X)i X( ( ()i0('0-('0-'('()i0)0)i0PCI_CORE/PCI_LC/AD2 r)QI2#DC2(II# F3F3# G3G3$ F1F1$ F1F1 &H F1F1 % 0F2F2# 0F2F2%8F3F3%HF4F4-# # 0% # % U% %% U%%%% $P $ $P # $P $P % % 0$` U% $`$` U$`$`$`##u##(#u##($P $ $P # $P U$P $P$P U$P$P$Pu$P%x &H %x %8% %x % $Pu#$Pu% %H$pu% #$pu##$X#$X#%$X'e%('e(((X()](X))]'('h'(h-'h)(h-PCI_CORE/PCI_LC/AD1{;) QI2( II! 0F3F3# F4F4# F2F2"( (F1F1"( @H1C1 ! 5! 0! 5"( @! 5"( (! ! 5! Q ' ( ' 0' )A 0' 0) )A 0Q # Q # ) Q PCI_CORE/PCI_LC/AD0z)0 pQI1"H hF4F4"X hDC4( `II hF4F4# pF2F2# F1F1" # " $ ! h! "X h! "H h$ ! $ # p'U $ )Q 'U )0 p)Q '0 ( `'0 ' )e ' )0 p)e PCI_CORE/PCI_LC/AD31|)0 QI1( II}&p DC2q'!G1G1'"hG1G1'("M'"h'("M'!( '!) ( 'e p&p )] `'e p) )] `)0 ) '8 '!'8 ' X)i X' X)0 )i X' ( ' ' 8)Q 8' 8)0 )Q 8PCI_CORE/PCI_LC/AD30w)!(QI2(!8IIq'!G3G3'"(G2G2|&!H1C3 ' (!8' ' @( ' @'M x&!(!'M x(!'"()!((!( '!)!(( PCI_CORE/PCI_LC/AD29m)#QI2(#IIz&#DC3r' F3F3'"G4G4 ' #&#(' )Y$h()#)Y$h'#'"' #(#' #'#(#'#)#(#PCI_CORE/PCI_LC/AD28h)0#QI1(#xIIr'! F4F4'"pG3G3y&p#H1C2'#(#x'#'#(#'#)#(#'!'! (`"M'!)A"(`"M)#)A")0#)#%"&p#("%")0#("(x"M'"p)Q"(x"M)0#)Q"PCI_CORE/PCI_LC/AD27c)0&HQI1($IIw&p$DC2n'#G4G4'"@F1F1'M#8'"@($'M#8($*!&)i%*!&)0&H)i%'e$&p$'e$'#(%'e$)&H(%)0&H)&H'% ($'%'% )Q%'%)0&H)Q%PCI_CORE/PCI_LC/AD26^)'QI2(%XIIn'#G3G3'"F4F4v&x%@H1C4 'e#0'"($'e#0'e%&x%@('X'e%($'#('X($)'('X' % (%X'%' % (&m'%)]' (&m)')]' PCI_CORE/PCI_LC/AD25Y)"(QI2("IIt&"`DC3o'#F2F2'"HF3F3 '"M&"`'#'#("M'#)"(("M'"M("'"M'!)M!'!)0"()M!)0"()"((h"M'"H)"((h"MPCI_CORE/PCI_LC/AD24T)"QI2("IIo'#F3F3'"(F2F2s&p"(H1C2 'e!'"('e!&p"((#8'e!(#8'#)"(#8' "M("' "M'!("M'!)"("MPCI_CORE/PCI_LC/PCI-PAR/P3I'"0HX! G4G4 ! ! " ! "" $"%h$%(%h%@%(%%@%%% %'U!h% '"0'U!hPCI_CORE/PCI_LC/S_CBE_INT3 "0(QI2"( F1F1"( G1G1'"XH1C1 ! "( ! "( "0(! 'M!'"X'M!&#0&#0#E#0"x"x#E#0"("h"x"x!"("h! !"0(! PCI_CORE/PCI_LC/AD23O)QI2(IIk&DC3_& xG3G3 ' G4G4 ' U& x' ' U' &' ' (`' )(`' ('h' ('h)(PCI_CORE/PCI_LC/AD22J)0QI1(II_& G4G4 ' pG1G1j&pH1C2 & ' p( & )0( 'e8&p'e8& )]('e8))]()0)'('`'(x'`(x)M)0)MPCI_CORE/PCI_LC/AD21E) 0QI2( @IIh& DC3`&` 0F2F2 ' xG3G3 ' & 'e &` 0)] 'e ) 0)] (h U' x' ( @' ' (h U' ) 0(h UPCI_CORE/PCI_LC/AD20@) QI2( IIe&HDC1`&H HF1F1 ' 0G2G2%u&H%u%%%%% U% U&H H% U&m ' &m '0 ( ' '0 ' 0' ' 0' 0' ' 0' ' 0( ' ) ( PCI_CORE/PCI_LC/AD196)0PQI1(@II\'PG2G2 ' PF3F3d&H1C3( U' P)Y( U)Y* )]* )P)]'P(@''P(hu')P(hu'u&'u')E')P)E)0P)P('P)0P(PCI_CORE/PCI_LC/AD181)0 0QI1(II\'G3G3 ' 0F2F2g&x (H1C4'0('0'0'0')i')i*!H)e *!H)0 0)e 'U p&x (( ')] ( ) 0)] )0 0) 0'U p' 0)Q 'U p)0 0)Q PCI_CORE/PCI_LC/AD17,)QI2(IIb&pDC2]'pF3F3 ' HF1F1 'X ' H'('X &m(&p'(&m((u'('(''(`')(`(u'p)(uPCI_CORE/PCI_LC/AD16')QI2( II]'F4F4 ' F4F4a&H1C3 ' ' '' '''u'''u'&'eX'('eX)('( 'p'(h5'p)(h5PCI_CORE/PCI_LC/PCI-PAR/P2I' 8HX G1G1 8 @ 8 "M @ @# @"M @% @# @&m @% @' @&m @(x ' @(x ' ' 8' PCI_CORE/PCI_LC/S_CBE_INT2 ! (QI2"(hF1F1' `H1C1"h (G4G4'( U' `'( U'( '( '( '( ' ' h' ' ' h'UP' 'UP%h%h%%%%X!%$]%X!#EX$]#EX!! (!"M "h ("M ! ! ! ! ! 5! 5"M"p"M"("p!`"(!`"(h!`!!!! (!PCI_CORE/PCI_LC/AD15")QI2(IIY&H@DC1S&G2G2'G3G3&m&'&m'5(''5'(0 '(0 (h')(h%5&H@%5&m'&m('(')(PCI_CORE/PCI_LC/AD14)0QI1(IIS&G3G3'G2G2X&pH1C2''(`'&mh&p'h&mh'&''5'5'h(`'h)(`)0)'05('05')i')0)iPCI_CORE/PCI_LC/AD13)QI2(IIV&x@DC4T&F3F3'G1G1 'M''M'M&m&x@'&m'M&(0'M'0 (''0 )e')e*!(0*!)(0PCI_CORE/PCI_LC/AD12~)QI2(XIIT&`F2F2'G4G4U&pH1C2' (X'm' 'P'm'h'P('h((8'e'( 'e&m&`'&m)Y'))Y'e&p(8'e(8( )( PCI_CORE/PCI_LC/AD11})(QI2(8II'F2F2 ' ')Q ' )Q )*0)* (*0)Y* ((M)Y)((M' (8'' )]')()]PCI_CORE/PCI_LC/AD10| )pQI2(II'F4F4 '0(''0('()e)0p)e)0p)p''(5')p(5PCI_CORE/PCI_LC/AD9)(QI2(II'F1F1#H1C3 '(''(hM'(hM)A)()A'M`''M 'M`$`#&$`'M &('M )((PCI_CORE/PCI_LC/AD8)0QI1#DC4(xII'F3F3#5#$1#5%$1& %(& )0('(x'')Q')0)Q('(5(((5(M()i(M)0)iPCI_CORE/PCI_LC/AD7)HQI2(II# G3G3# F3F3# HH1C3$h 5# H$h 5% % $ $ # $ # % $ $h% % $h%M% %%M&mh%'h&mh( 'h(m( )H(m' m(' m')Y')H)YPCI_CORE/PCI_LC/AD6)0QI1# hDC4(II# pG2G2# (F4F4# # (% # % % # # p# # h% # % % % %% %&m% '&m'('')M')0)MPCI_CORE/PCI_LC/AD5 )hQI2(xII# G4G4# G2G2% F4F4% (F4F4 &h F4F4 $ HF1F1# PF3F3$F1F1$F1F1%H1C4B% 0% $H % 0&m H&h ' &m H' ' ( U' ( U *!  *0*! )*0)M)(xu)M'(xu'''8''0'8&'0&H&%&H# 0# # 0# $H # 0$H U$H % % ($H U% $H$$H U$ H$H U# P$H$H U$H#P"#P#"##$x#$x$$xu$x$x$xu$x5$x$x$x5$x##M###M$x#$x $x$xm$x $x$xm#$x###`#% `#`&m`% `'`&m`(`-'`)h(`-% %%% %5%%%5&m%'&m'(0'(0'-(x''-)A')h)APCI_CORE/PCI_LC/AD4 )0hQI1%8DC3(XII# G1G1# (G4G4%8 F3F3%8 F3F3 & F3F3 %8 PF3F3# HF1F1%F4F4%8F3F3,# # # # % # &m % '0 5&m & `'0 5& X& `& & X%u%8&HX%u&p&HX& &p" U# H" U# # # (% # &m % %%8%&mX% X%&mX% X&mX& % U%8 P% U&m &m & % %8 % &m 8% %8 &m 8% &m 8& & & & &&m&&Ph&m&ph&Ph&m&ph'&m)E')h)E)0h)h'(X'')M')0h)MPCI_CORE/PCI_LC/PCI-PAR/P0I# xHX! G3G3!q ! !q #5 # x#5 PCI_CORE/PCI_LC/S_CBE_INT0 (QI2# H1C1pF3F3"` G2G2 ! x"` #- ! x#- # #- !!%%``p`(PCI_CORE/PCI_LC/PCI-PAR/P1I'HY! pG2G2 ! ! p$ ! % $ &P p% & &P p& & ( p& ( p) )]) *0!)]*!*0!(*!'(PCI_CORE/PCI_LC/S_CBE_INT1  (QI2"xF3F3'H1C1"x G3G3&h'&&h&H&%&H%%@%@$ $ #- #- "x #- #-#-#-#-"x#-#-@#-@! (!PCI_CORE/PCI_LC/PCI-PAR/PER! GYz XG3G3G0 5 X 5 ( ! (PCI_CORE/PCI_LC/PERR xHXXhDC3D*HXh u0H!q u0!q!q!q u x u PCI_CORE/PCI_LC/PARIpOUTI1 pH1C2z G2G2 p    5 5uuMMxp(xpp(x  0x 0 0u 0uMMpPCI_CORE/PCI_LC/ADDR_VLD1XFXCEC3! CEC2 F1F1zh PG1G1 CEC1D1 CE5 ( ( ( ( (    5M uMXuX 5h P 5--huXhuPCI_CORE/PCI_LC/PWIN0PQXQ! F3F3 ! ! ! ! 5! 5!!!u!u!!IIM0PMPCI_CORE/PCI_LC/PCI-PAR/DOQ31# QXQ#"pG3G3$@"M#"p$@"M#!#!" # " PCI_CORE/PCI_LC/PCI-PAR/DOQ30#! QYQ#"(G2G2#!#"(#! #!PCI_CORE/PCI_LC/PCI-PAR/DOQ29$#QXQ#"G4G4#"#"$@##"$#$@#PCI_CORE/PCI_LC/PCI-PAR/DOQ28%0#QYQ#"hG1G1#-#8#"h$$#-#8%0#$$PCI_CORE/PCI_LC/PCI-PAR/P3O#"0HX#F4F4###$#!$""M#!#"0""MPCI_CORE/PCI_LC/PCI-PAR/DOQ27"p%@QYQ#"(F2F2#!#"(#"M#!###"M#% ##"p%@#% PCI_CORE/PCI_LC/PCI-PAR/DOQ26"0$QXQ#"@F1F1""M#"@""M"M"!#"M"!% !#"0$!% PCI_CORE/PCI_LC/PCI-PAR/DOQ25"p"QYQ#"F4F4###"##"M#"p""M#PCI_CORE/PCI_LC/PCI-PAR/DOQ24"0"(QXQ#"HF3F3$1#@#"H$1#@#5!h"0"(#5!hPCI_CORE/PCI_LC/PCI-PAR/DOQ23#HQYQ!G3G3!`!!`#E#H#EPCI_CORE/PCI_LC/PCI-PAR/DOQ22#QXQ!G4G4!X!#-!X##-PCI_CORE/PCI_LC/PCI-PAR/DOQ21 QYQ!PG2G2!!P! m m%8 %8PCI_CORE/PCI_LC/PCI-PAR/DOQ20p 0QXQ G1G1   p 0 PCI_CORE/PCI_LC/PCI-PAR/DOQ19 QXQ hF1F1 m h  mPCI_CORE/PCI_LC/PCI-PAR/DOQ18!HQYQ!pF3F3!u!p!u !H PCI_CORE/PCI_LC/PCI-PAR/DOQ17QYQ F4F4   PCI_CORE/PCI_LC/PCI-PAR/DOQ16pPQXQ PF2F2 u PpP uPCI_CORE/PCI_LC/PCI-PAR/P2O!HY#(F2F2##(#"""5"5"""M"M ! PCI_CORE/PCI_LC/PCI-PAR/DOQ15'(QXQ&pG3G3' M&p'(' MPCI_CORE/PCI_LC/PCI-PAR/DOQ14'QYQ&HhG1G1%&Hh'e0%''e0PCI_CORE/PCI_LC/PCI-PAR/DOQ13'QYQ&(G2G2'Uh&((Q@'Uh'(Q@PCI_CORE/PCI_LC/PCI-PAR/DOQ12'QXQ&G4G4&m&'&m''PCI_CORE/PCI_LC/PCI-PAR/DOQ11%0 QYQ&H@F1F1%M&H@%M%%0 %PCI_CORE/PCI_LC/PCI-PAR/DOQ10$QXQ&hF4F4&0&h&0$$$PCI_CORE/PCI_LC/PCI-PAR/DOQ9 %0QYQ&HF3F3'M&H'M&m&m%M%0%MPCI_CORE/PCI_LC/PCI-PAR/DOQ8 $(QXQ&`(F2F2%h&`($(%hPCI_CORE/PCI_LC/PCI-PAR/P1O&xHY#HF3F3$@M#H% $@M&m% &x&mPCI_CORE/PCI_LC/PCI-PAR/P0O#HX#@F1F1#-#@#-#-##-PCI_CORE/PCI_LC/PCI-PAR/DOQ7#QYQ#G2G2#5(#$1#5(#$1PCI_CORE/PCI_LC/PCI-PAR/DOQ6#HQXQ#(G1G1# #(#m# #H#mPCI_CORE/PCI_LC/PCI-PAR/DOQ5$QXQ#0G3G3$@ #0$@m$@ $@$@m$$@PCI_CORE/PCI_LC/PCI-PAR/DOQ4%0QYQ#@G4G4$#@%`$%0%`PCI_CORE/PCI_LC/PCI-PAR/DOQ3'QYQ#@F4F4##@% #&m% '&m(m''(mPCI_CORE/PCI_LC/PCI-PAR/DOQ2'HQXQ#F1F1" ##" % #&m% 'm&m'H'mPCI_CORE/PCI_LC/PCI-PAR/DOQ1P QYQ#F3F3 $#$$8$8$ $ #E#E   ` `  8P 8PCI_CORE/PCI_LC/PCI-PAR/DOQ0 0QXQ#F2F2 #`##`###M#M##"M"x`"M"hh"x`!"hh! m m%X%X@ 0@PCI_CORE/PCI_LC/ADOUT22"(FX# DC1D1## ###"M "("M PCI_CORE/PCI_LC/ADOUT20h FXh `DC1D1 Uh ` U h  PCI_CORE/PCI_LC/ADOUT18hFX DC2D1   hPCI_CORE/PCI_LC/ADOUT16 FXDC3D1 m`  m`PCI_CORE/PCI_LC/ADOUT19@GY  H1C1D0 0  @ 0PCI_CORE/PCI_LC/ADOUT21 GY 0H1C2D0  0  PCI_CORE/PCI_LC/ADOUT17"(XFXPH1C2D1P "M "(X"MPCI_CORE/PCI_LC/ADOUT23"xGY#H1C2D0#E#"x#EPCI_CORE/PCI_LC/ADOUT24 "0FX"P"(DC2D1"M!"P"("M! ! "0 !PCI_CORE/PCI_LC/ADOUT27!%8GY"(%H1C1D0!% "(%!%8!% PCI_CORE/PCI_LC/ADOUT28##FX%#DC2D1% #%#% #######PCI_CORE/PCI_LC/ADOUT30"( FX# DC2D1# X# # X"M X"( "M XPCI_CORE/PCI_LC/ADOUT26 $FX"P$DC2D1"M$x"P$"M$x $x $ $xPCI_CORE/PCI_LC/ADOUT29##GY$#H1C1D0$P#$###$P#PCI_CORE/PCI_LC/ADOUT25!"xGY"("XH1C1D0!"M"("X!"x!"MPCI_CORE/PCI_LC/ADOUT31"x!GY#! H1C4D0#E!#! "x!#E!PCI_CORE/PCI_LC/ADOUT6&HPFX#HDC2D1#5#H%#5&HP%PCI_CORE/PCI_LC/ADOUT4&HFX%8DC3D1%%8&H%PCI_CORE/PCI_LC/ADOUT2'FX'DC4D1'8'''8PCI_CORE/PCI_LC/ADOUT0 xFX `DC1D1  `   x PCI_CORE/PCI_LC/ADOUT3'GY'H1C3D0(pm'(p(pm'(pPCI_CORE/PCI_LC/ADOUT5&XGY%H1C4D0% p%&mp% p'-&mp&X'-PCI_CORE/PCI_LC/ADOUT1 xFX0 0H1C2D1 p0 0 pQ Q U U   x PCI_CORE/PCI_LC/ADOUT7&GY#H1C4D0$P#'eP$P&'ePPCI_CORE/PCI_LC/ADOUT8$FX$XDC1D1$xM$X% $xM$% PCI_CORE/PCI_LC/ADOUT11#GY$H1C1D0$P$#$PPCI_CORE/PCI_LC/ADOUT12'FX'DC4D1'x'''xPCI_CORE/PCI_LC/ADOUT14'FX'XDC1D1'(M'X'(M'('('(5''(5PCI_CORE/PCI_LC/ADOUT10#FX%DC2D1% %% ###PCI_CORE/PCI_LC/ADOUT13'8GY'H1C3D0(p'(p (p'8(p PCI_CORE/PCI_LC/ADOUT9%8GY%H1C4D0% %%% %8%PCI_CORE/PCI_LC/ADOUT15'`GY'(H1C2D0''((`'(`''`'PCI_CORE/PCI_LC/PCI-PAR/AD_PAR#0FX0H1C2 0 "M #"M##H ##H ###"M"0("M"x`"0(#M"x`#0#MPCI_CORE/PCI_LC/BAR_START( z `GYhF1F1G3G3F3F3G3G3F1F1G1G1F4F4G4G4}8G3G3 8 (Q(h 5  5 ` QQQQQhQPQh  (P `  (88 P8 P P 5 P ` P 5PCI_CORE/PCI_LC/PERR_EN FXkF1F1jG1G1{ hF4F4z hG4G4F1%  h%  h %    PCI_CORE/PCI_LC/PCI-PAR/PERRQ pQXQ{ 0F3F3!G3G3 0 ! 0 I ' I ' ' 0' 0(8(8 (8' (8 ' &m &m % % # # "M "M 0 5 0 0 5 p PCI_CORE/PCI_LC/PERR_NQI1 H1C1 p  p p p p 5p 5pppupupppppMpMppPCI_CORE/PCI_LC/CBEI37"0OUTI1G4G4IN u!q u"0!qPCI_CORE/PCI_LC/CBEI26! OUTI10G2G2IN }`0!x }`! !xPCI_CORE/PCI_LC/CBEI15 OUTI1PF3F3IN P  PCI_CORE/PCI_LC/CBEI04OUTI10F2F2IN`0`XXPCI_CORE/PCI_LC/PCI-PAR/ADDR_VLDQPQYQ{h (F1F1 5h ( 5uPuPCI_CMD<1>S !QYQiXH1C3{ F2F2 `X  `  ! PCI_CORE/PCI_LC/SERRyh HX&hDC1 F3F3|D*  @h  @  h        5h  5PCI_CORE/PCI_LC/SERR_ENGYyh @H1C1 h @h hPCI_CORE/PCI_LC/CE4_1i"(FXW& CEC3Z&HCEC3VCE5YCE5&& & && &H&m`& &m`% `% `#`#`"M`"("M`PCI_CORE/PCI_LC/CE4_3k "x@GY{&H#CEC1~&H CEC1w&H%CEC1t&H"XCEC1uCE8zCE5}CE5xCE5 %$&H#%$&H%%$%!%!&H %!&H"X%!$ $ " " "`"`""" " """"x@"PCI_CORE/PCI_LC/CE4_2j  FXf&pPCEC2h&p CEC2l&HCEC1c&HCEC1eCE5iCE5kCE5bCE5%&H%%u%u%%&mh&&mh%&pP&%&&&H&%&H%&H%% U% U% % &m `&H &m `&` &H % &` % &p % #5 #5  u  u PCI_CORE/PCI_LC/TSTOP-  JpQYQS`F2F2RG2G2U0H1C2H1C2H1C20H1C2F1F1o@F2F2 F4F4H1C4:(DC3HhG4G4,8(8888M8MMMMpM--`u`u````mmMP0Mp0P0mp0m ((M0UU000m88mm`m  MpM8h 0 MpM8@p8PCI_CORE/PCI_LC/BAR2/NLMEMXQXQPCI_CORE/PCI_LC/BAR2/BHITPQYQPCI_CORE/PCI_LC/PCI-ROM/SEL2#FX# `II#II#8II#II#II#II#II#@II # @II #F1F1 #II #"II#"II#%XII $H1C1##xII##II# II#XII#xII#IIA#####% ###%#% #%8#%####x#$p##$p% #$p#%8$p% #%8#%X#%0#%8$ #%0# # @# "M H"x "M H"h "x #E x"h # #E x#"#"#"#"#"`#"$ #"`# # # # $ # # # `# # $1 # $1H$1 #$1H#@## #@$ # ####"#"5#""5"##"#$ #p#X##p$ ##$ ####x##"#"#""M"M""#"""#"u""#8""5"u#@# "u$X$$X# ## "5#"5"#"PCI_CORE/PCI_LC/PCI-ROM/SEL1 $FX #F3F3 F1$X#$X$X$Xu$X%  $Xu$%  PCI_CORE/PCI_LC/PCI-ROM/SEL0 #GY# II#XII# II#II#II # II#II#!8II#xII#II#II#P##`#P#8#`" #!8" ""##`###X###8## # # # # #8 # # # # #8 # #######8##`##`#x#8#`#8#8#8 #8##8 #### ##ADDR<2>v#QYQ %F4F4#F4F4#G4G4$hF1F1#p##p#% p%% p#p##p$1h$h#$1hADDR<3>u#QXQ %F2F2#F2F2#G2G2%F4F4$#$#$%$%#$ADDR<4>t$PQXQ $F1F1#F3F3#G3G3%PF2F2$`#$`#$`$$`$`u$P$`u% %P$P% ADDR<5>s%0QYQ %8F3F3#F1F1#G1G1%8pF3F3 "#"##"% #%% %%8%%u%u%8p%0%uPCI_CORE/PCI_LC/MD27' %8HY#$II#$#$#% #$$#% $ $% $ %8% PCI_CORE/PCI_LC/MD22" #FX #II##PCI_CORE/PCI_LC/CE15_0X "( 8FX"(CEC1"XCEC4"( CEC1"PHCEC2CE5CE5CE5CE5"M H"X"M H!!"(!"M("M(!"M"PH"M!"M!"M!!!5!5!!!u!u!!!!! U"( 8! U! "( ! ! "( 8! PCI_CORE/PCI_LC/IREG6 "0QXQ"II"0"PCI_CORE/PCI_LC/IREG4 "0hQXQ"XII"0h"XPCI_CORE/PCI_LC/IREG2"pQYQ"II"p"PCI_CORE/PCI_LC/IREG0"0 pQXQ" `II"0 p" `PCI_CORE/PCI_LC/IREG3"pQYQ"II !-""M!-#"M$p-#$p-$p$p$pm#$pm#####"M"p"MPCI_CORE/PCI_LC/IREG5 "pQYQ"xII!-"x!!-"M (!"p"M (PCI_CORE/PCI_LC/IREG1"p QYQ" II"p " PCI_CORE/PCI_LC/IREG7 "0HQXQ"II"0H"PCI_CORE/PCI_LC/ADDR_VLD0X `GY$ `CEC1 &p pCEC2% CEC2# `CEC1$CEC1%8 CEC3# CEC2$ CEC1%PCEC2#CEC3"P CEC2# CEC2#PCEC2CE5CE5CE5CE5CE5>% %P%0H% $ %0H$h#$h5$h$h5###@ ##P##@ $X U$ `# $X U$h$ $h#p#p#@ #0#@ #0#u#u"M"M !H  H!H u Hh u (h$h$$h## ## `# % (% % % (% % 5&P % 5&p &P &p &m &p p' 5&m ' 5# X# # X"M X"0 "M X"( "0 ! "( ! H H H H  - X `- % %8 % % 5% 5# U# `# U"M "M ! ! ! !  h x h h x (h X ` ("P # X `PCI_CORE/PCI_LC/PCI-CNTL/LADX15h%0 QYQ-"xF3F3"@ 0F2F2 "M "@ 0" U"M # " U% # %0 % "h"x" ("h$ " (% $ %0 % PCI_CORE/PCI_LC/PCI-CNTL/LADX13f & QYQ% G2G2& x% & & xPCI_CORE/PCI_LC/PCI-CNTL/LADX11d%0 (QYQ%8 G3G3% %8 %0 (% PCI_CORE/PCI_LC/PCI-CNTL/LADX2i# 0QXQ%( (G4G4$ p%( (# 0$ pPCI_CORE/PCI_LC/PCI-CNTL/LADX3j$QXQ0!pF3F3"h G4G4!qh!p!q (!qh!q !q (#5 !q #5 "h % #5 $% PCI_CORE/PCI_LC/PCI-CNTL/LADX1b$ pQXQ*#F3F3 F4F4! xG3G3!F3F3!G3G3  ! U !!$1#% $1$ p% !!!! U! U! x! U"M # "M $` # $` $` $ p$` PCI_CORE/PCI_LC/PCI-CNTL/LADX0a# (QYQ$ G1G1$X $ # ($X PCI_CORE/PCI_LC/PCI-CNTL/LADX4k$QXQ3%F4F4"@F2F2"`G2G2! F3F3! ! "M ! " U"M "" U#H"$@#H#50"`#50"@%0#50$%0% h%$@ 5% h$@ $@ 5$@ $@ $@ U$@ $@$@ U$@$@$$@PCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/AD7610-0000 # FX$ H1C1$ H1C1 &H H1C1 % H1C4# 0H1C2%H1C2%H1C2F1% &H % % `% `# `% %% $x$x$x U$x $ $x # `$x $ # # 0# " # " %  % %  %%  $x U$x U$x $x # `# # `ADDR<1>w"p hQYQ"` G2G2# hF4F4"M"` # 5"M# # h# # 5"p h# 5ADDR<0>x"0 QXQ"( PG1G1# F2F2! 5"( P"0 ! 5#5P# "0 #5PADDR<6>r# hQYQ pF2F2 pG2G2 hH1C4"h hG4G4# 0F3F3 XG3G3 M X M  p  p  h  #E  #E "h h# h#E $x 5# 0# h$x 5ADDR<7>q# QXQ F3F3 G3G3 HGC3"x XG3G3# (F1F1 G2G2mh hmh-hh`  `  ` ` 5` 5 H` 5-hh-h hh"Mh h" 5"Mh# " 5# 5"x X# 5# (# # 5ADDR<8>p#PQXQ hF4F4   h-   -  (  ( ! U !! U"MH!""MH"u"#P"uADDR<9>o#QYQ F2F2  mm8$H8$H#p#p$ #$ ##S_WRDND QYQF2F2C G2G2k F2F2jHG4G4~HF1F1H F4F4h G4G4xG3G388G3G3G1G1;PG2G2 G2G2,EXEX- - h Uh Uh h h h h 5h 5hhuxu@uP@uP  (P(H88mmP5 h -H-u uP u-@ -@uu5 H 1 (5 1 (PhPhPP  m mPCI_CMD<11>I ! (QYQ HF1F1 pG1G1 F2F2!G2G2"xF3F3"x8G3G3 F2F2"x PF3F3"x xG3G3#-"x8" U"x x" U"x P" U"M "M ! ! (! X X#-"x#-!8!8!!8 !8 m m p m ! ( H U H H U ! ( PCI_CMD<10>J! QXQ*#F2F2)#G2G2-"@F2F20 F4F43$F1F1##G4G4 8   8$P$$P##"M"M   $#!"@$#$#$! !PCI_CORE/PCI_LC/IDSEL(QI2 (F1F1pF3F3 m ( m m` m`p m` m m m( mPCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/CFG_OKz HX}G2G2G3G3e%e m% m m m  mPCI_CORE/PCI_LC/PCI-CNTL/CFGEQPQXQDF2F2M8F3F3}H0G1G1uG2G2 8H0P  u uPPCI_CORE/PCI_LC/IDLE_INTHPQXQ}HF4F4F1F1x0G3G3xPG2G2~hHF4F4}HG4G4EHG4G4HH p p- p- pi  i    (  ( h haHmum0uP0Pu0HPuaxP5HHhH55aHPaPCI_CORE/PCI_LC/CFG_HIT_INTpPQXQ6`F2F2QPF2F2`pF3F3`PF2F2G3G3@F2F2GC3`up`u Q@880u Pm m-u-pPuQ` PuQ Pu0u0um`Pm--pPPCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE1/QA"+#QYQ& TT%!@TT$$TT##pTT"$TT!%`TT."TT-"TT,TT+xTT* HTT) TT(8TT'TT TT(TTTTTTTT`TT@TTTTTTpTTTTTTTTPTTTT TT TT XTT(#GC3*(((  X((`(  (( x( (  (@($( (  H(p( (( ( ( (!@("( ($(#p( ((( 8(%`("((P( (( "M #"M##8##8##$x##$xPCI_CORE/PCI_LC/PCI-CNTL/EN_CFGQ5QYQ*#F4F4-"(F1F10 hF1F13%F2F2##G3G3&% hF4F4& % h& $$$%$% @%###"M"M!$xu#$xu#@ Xu h!"(!   8u 8u% @%% @#@#@"M@"M@ @ @ Xu XuPCI_CORE/PCI_LC/PCI-CNTL/END3$#XFX(#H1C1,"xH1C3/ H1C42$H1C1&$ (F1F1"F1 $X 5$ ($X 5$X  "M "u"M$X$$X$Xu$Xu##X#""x"#""u#X"uPCI_CORE/PCI_LC/OE_X"'$ QXQ%P TT%P!@TT%P$TT%P#pTT%P$TT%P%`TT%P"TT%P"TT%PTT%PxTT%P HTT%P TT%P8TT%PTT%PTT%P(TT}%PTT|%PTT{%PTTz%P`TTy%P@TTx%PTT%PTT%PpTT%PTT%PTT%PTT%PPTT%PTT~%P TTw%P TTv%P XTT&% F2F2$% % $%P$%P$%P$%P$%P`$ %P X$ %P $%P$$%P$ %P $ %Px$%P@$ %P($ %P$ %P$%P $%P!@$ %P$%P"$ %P H$%Pp$ %P$%P$$%P#p$%P $%P$ %P$%P%`$%P$%P"$ %P8$%PP$ $% $ $ % PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/X$XFX)#G3G3$h#$h% 0&m0% 0&m0& &m& &m% $hu% $X$huPCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE15/Q"."0QXQ" TT"!@TT"$TT"#pTT"$TT"%`TT""TT""TT"TT"xTT" HTT" TT"8TT"TT"TT"(TT"TT"TT"TT"`TT"@TT"TT"TT"pTT"TT"TT"TT"PTT"TT" TT" TT" XTT,"PGC2$"M8"P!"!"!"!"`! " X!"! " ! " !"$! "x!"@! "(! "! "! "!"!" !"!@! " H!""!"p! "!"$!"#p!" !"! "!"%`!"!""! "8!"P! !"M8! "0"M8PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE4/Q"4%0QYQF& TTE&!@TTD&$TTC&#pTTB&$TTA&%`TTN&"TTM&"TTL&TTK&xTTJ& HTTI& TTH&8TTG&TT@&TT?&(TT6&TT5&TT4&TT3&`TT2&@TT1&TT>&TT=&pTT<&TT;&TT:&TT9&PTT8&TT7& TT0& TT/& XTT2%GC4$%h&%h&%h&%h&%h&`%h & X%h & %h & %h &x%h&$%h&@%h &%h &%h & H% p%%h& %h&!@%h&p%h &(%h &%h &%h&"%h&$%h&#p%h& %h&%h &%h&%h&%`%h&"%h&%h &8%h&P%h %h% p%h %0% pPCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE3/Q"1 PQXQ!0 TT!0!@TT!0$TT!0#pTT!0$TT!0%`TT!0"TT!0"TT!0TT!0xTT!0 HTT!0 TT!08TT!0TT!0TT!0(TT!0TT!0TT!0TT!0`TT!0@TT!0TT!0TT!0pTT!0TT!0TT!0TT!0PTT!0TT!0 TT!0 TT!0 XTT/ PGC2* !0 !0 !0 !0 !0x !0 !0` !0$ !0@ !0 !0 !0 H  P X !0 X X !0 X X 5 X 5 ! !!u!!u P! !0 !0p !0( !0 !0 !0!@ !0" !0$ !0#p !0 !0 !0 !0 !0%` !0" !0 !08 !0P   P PCI_CORE/PCI_LC/OE_ROM}"%#PQXQ# TT#!@TT#$TT##pTT#$TT#%`TT#"TT #"TT #TT #xTT # HTT # TT#8TT#TT#TT#(TT#TT#TT#TT#`TT#@TT#TT#TT#pTT#TT#TT#TT#PTT#TT# TT# TT# XTT##G1G1/" #x" #"#"#"#" # X" # "#" #"#$" # "# " # H"#`"#@"##p"#""#p"#$"#!@"#"#%`"#""# "#P"#"#" ""M" #"M$Hu#$Hu####P#"u#8#"u"u##P"u"5#"5#"5""#"#("##$ #$ #P#PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/$2N282e%8 GY##PG2G2##P% #%u% %%u% 5%% % 5% % %8 % PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/NS_OE_X/2.0I2)#GY&%8 0F3F3% 5%8 0% 5% % ###PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OK"x `GY)#G4G4#x#" 5#x"x `" 5PCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/FORCE0/2.0I?# FX)#G1G1##### #PCI_CORE/PCI_LC/PCI-CNTL/DSTR2 8@GY 0F2F2! 0G2G2 F4F4 G1G1"HHF4F4"hHG4G4 (F4F4"H F4F4"` 0G2G2"M"hH"M"H "p "M"P 0"p ! p"P 0"M"HH"pH"M"P"pH!0"P!0 !0 H H  H  ! p"` 0! p (! p! 0! p 0! p     QQUU8@BACKOFF{QXQ7hGC2s`F4F4F4F40h0`0xxPCI_CORE/PCI_LC/PCI-CNTL/STOPQ- :HQYQ9`HF4F4@F1F1LG1G1rxG2G2HF4F40G3G3FHF4F4F3F31H1H5050a0Ha0 H 0yXHyXHHHMMX8x8X`HHXHXPCI_CORE/PCI_LC/TRDYQ- N0QYQ9F3F3L8G3G3rG3G38F3F30G1G1G2G2yyMM``  H80 H MHHHMH@H@080PCI_CORE/PCI_LC/S_DATA_INT  pQYQHH1C4qpH1C4KH1C1xpF3F3hG4G49@F1F1jXG3G3 G4G4 F1F1( PG1G1n(G1G1x F3F36X-0-000m0m0 0XXXXupuxphx Hu u 0h 0hMpMyppypuy0uy0m`m` x   5 5pX Xm  m p@yyuMu0M( P0(uu0M8h0M80phxpphPCI_CORE/PCI_LC/B_BUSY_INT3 QYQ<PF2F2;G3G3yPF2F2pF3F3|HG4G4Z(F1F1H1C2WG2G2EG2G2@PG2G2XqXXEXqX@PUEEhph(EXXEXXPUHPUPPUPP  uuPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-IDLE/NS_TNAR`0HY@H1C1h@h0PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BUSY/EQN-Ax}FXPG2G2mPmPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BUSY/EQN-By|@GYpF3F3upu@BASE_HIT<0>>FX6F3F35G3G3P(G4G4_G4G4vF2F2hF4F4G4G4`G2G2` pG2G2h (G4G4 PG1G1F1D1$M h ( UM   U   U P 0 U hP 0M  hM M Exm(m(h m( M ` p 5M  5h hh hPEx` 8(m8 8Pm8PM  PM M`ExExM`M`M`PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/DATA_NS_DATAHXPH1C2M0P0M0hu0huhhPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/EQN-AW0GYHF4F4hG4G4MhhMhHMhhhyhyhh0hPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/NS_DATAxHYP DC2D*M XP  M X  5 5xS_READY QXQMF1F1P PG2G2_G3G3vF4F4uG4G4(G1G1DF4F4ppppup(M 5M  5  Ppu puppup   S_TERMAHQYQ?G2G2DF1F1PG1G1_PG2G2vF1F1xG3G3HHHuxHuHuMMHM M uuHxxHEPEqHqS_DATA0 QXQsF3F3rXHF2F2qF1F1p(F3F3o@F4F4nHF2F2mF3F3lHF4F4k@ 0F2F2] F1F1ZHF3F3Y@F2F2XpF3F3WF3F3( F1F1( G1G14) ) @-(@- @-hH@yyXH  y       M@@hhEhEh Ep pEppp(p(XmXm m m p (  MH M    5 5   u up uH U U  (  ( 0  M @ 0p (M 0 p (E8@E8 0  PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/DATA_NSq@HXzF2F2pp@PCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/EQN-ABwXHXzHF4F4HXPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/BKOF_HITtHXz0F3F30yXuy@XuM@@@M@@PCI_CORE/PCI_LC/TTRDY- !QYQShF4F4RG4G4@PF2F2`PG2G2FF3F3E8G3G3F4F4o(F1F10G2G2 PF3F3xG3G3H(pG1G1NDC4 P PPM`PhqHPH8M@PMpHHPPMPMPPPqHx=`0qH=`qH(pppqH(qHPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/NS_DEL,8@GY=hH1C3HhH@PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/HIT6HFX88G3G3ZHHF4F4m8mHH`H`PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/EQN-A<XFX8HG4G4yHyXPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/SWAN137@HX8xG2G2y`x@y`PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/NS_DEVSEL_N-=HYF2F2GC2q8q8q8PCI_CORE/PCI_LC/NS_DEVSEL-YHY pDC2D*  p- ---PCI_CORE/PCI_LC/TDEVSEL-  pQXQU8hGC3@F2F2FF1F1 pF2F2$#hF1F1oHF4F4"u#h"uI 0uI 00u0 50 0 5H8hH000H00  p p   p PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/DATA_NS_DATA]K8HYVHF1F1@H@8PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/EQN-FbMFXVF4F4KF1    PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/BUSY_NS_DATAUOXHXV8PF3F3M8PM X PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/EQN-A_;GYV0F2F20m@mm@XmXPCI_CORE/PCI_LC/NS_TRDY-^8HX~`F2F2G3G3D* mX`mX X XPPPMPM8MMM8MPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/SWAN0oU8HXF4F4xG3G3 8 88hMx8hMPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/HOLD_TRDYfHxGYHF1F1HHxHPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/$3N857OSHFXPF3F31P1HPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/I_DATA_FLAGGQXQFF2F2E0G1G10xxPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/SUB_DATA1>HXG4G4``PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/PRE_DATABHXpG1G1 @Mp@@M@@@u@P@uPPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/IDATA_WINFFXBH1C2 @ @xuxuxxPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/PRE_DEVSELHYC(G4G4(PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/READYuGYCG1G1PPPCI_CORE/PCI_LC/NS_STOP-]HYI(HF1F1!D*M(HMPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/HOLD_OE_PERRlQXQ\F1F1] DC1Q QQPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/LAST_OE_PERR]QXQ[0GC2-x0-xPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_TRDY_IN!mxHYXDC1pD*xPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/SWAN)Z(FXWF4F4mXH1C4YF1``M`(M`MX(MPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/SET_OE_PERR&iXHY[X(H1C3lD*X(uuXPCI_CORE/PCI_LC/OE_PERR|[HX(TRITx!(x!hhMhhhMhhhPCI_CORE/PCI_LC/OE_TRDYWFXHTRIT8!H8!q5q5PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_TRDY-PRE XQXQWF1F1nxG3G3xPCI_CORE/PCI_LC/OE_STOPYx@HYTRITTRIT  MyM8989xxi`H0i`pH0yp0yX0MXXMXx@PCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/OE_STOP-PREppQYQYPH1C2MpPpMpPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/BEGIN^XHXaF1F1cpF3F3eppF3F3gpF3F3%p%%pp%% %q  E q  hE   h5P 1h5P1hX@up@uy(uy(uMuMXuPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/B_BUSY_NSXGY^H1C4XeXX%XeXX%XPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/ENDGYaHF4F4c@HF4F4e8PF2F2gPF2F2nhG4G4 MxhMxQ @H H 8P P QQuuPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/TRSTOPQ1TPQXQPG2G2ePePPCI_CORE/PCI_LC/FRAMEQ- QXQ F2F2@ G2G2XpF3F3uXpu-x-x -x@  -xPCI_CORE/PCI_LC/PCI-CBE/IO0/OUTx pQXQ(INO(X  X    p PCI_CORE/PCI_LC/PCI-CBE/IO3/OUT"0PQXQ"H(INO "Mx"H("M"Mx""M#"##$1#$1h$1$1 ($1h$1 $1 ($1$1 $1#5"0P#5PCI_CORE/PCI_LC/PCI-CBE/IO2/OUT QXQ!(INO !( XM  X XM Xhhhhuu 5P h 5X HP h 5X H  5   U  Uuu   PCI_CORE/PCI_LC/PCI-CBE/IO1/OUT pQXQ (INO QX (QXMMuu 5 5  p PCI_CORE/PCI_LC/PERR/OUT0QXQ((INO-((0-PCI_CORE/PCI_LC/Q14/OUTQXQ(INO(MMPCI_CORE/PCI_LC/Q2/OUT%H QXQ`(INO`(` `H  PCI_CORE/PCI_LC/PCI-AD/IO0/OUTP QYQ) XINO(h 5) X(h (h 5(h (h (h E  E  - 0P - 0PCI_CORE/PCI_LC/PCI-AD/IO27/OUT!%@QYQ_)&`INO(&m)&`(&mM& H&mM& H&m %!%@ %PCI_CORE/PCI_LC/PCI-AD/IO28/OUT##QXQd)#INO(p#)#(p#'"'"&m"&m"% "% "#"###"PCI_CORE/PCI_LC/PCI-AD/IO30/OUT"p! QYQs)!INO( x)!( x'e% x'e% x$!$!!!"p! !!PCI_CORE/PCI_LC/PCI-AD/IO26/OUT $QXQZ)'INO(()'(('e'P'e'P$'P$'P!'P!'P m% $ m%PCI_CORE/PCI_LC/PCI-AD/IO29/OUT ##QYQi)#INO(x#)#(x#'$X'$X&m$X&m$X% $X% $X$X###$X#PCI_CORE/PCI_LC/PCI-AD/IO31/OUT "0 QXQx) INO( ) ( ' P' P&m P&m P% P% P# P# P"M P"0 "M PPCI_CORE/PCI_LC/PCI-AD/IO25/OUT 4!"QYQU)"@INO)]")"@E!)]"$H"ME!$H"M#"#""M""M" "!" "PCI_CORE/PCI_LC/PCI-AD/IO24/OUT I "(QXQP)"pINO(p"M)"p(p"M'!'!&m!&m!% !% !#!#!"M!"M! ! "( !PCI_CORE/PCI_LC/PCI-AD/IO19/OUT ^HQYQ2)hINO (u)h(u'@'@&m@&m@% @% @#@#@"M@"M@ @ @ Xu Xu XH XPCI_CORE/PCI_LC/PCI-AD/IO20/OUT sp QXQ<) INO(p ) (p (p (p I @ 0 I @ 0  8p  8PCI_CORE/PCI_LC/PCI-AD/IO22/OUT "0QXQF)INO(p)(p' ' &m &m % % # # "M "0"M PCI_CORE/PCI_LC/PCI-AD/IO18/OUT pQXQ-) INO( ) ( U( ( UQ P UQ P P U PxpxPCI_CORE/PCI_LC/PCI-AD/IO21/OUT  (QYQA) HINO(@) H(@'e'e$$#-@#-@! ! %  (% PCI_CORE/PCI_LC/PCI-AD/IO23/OUT "pQYQK)INO(QH)(QH'U0'U0$0$0"H"p"HPCI_CORE/PCI_LC/PCI-AD/IO17/OUT "pQYQ()INO(u)(u'0'0&m0&m0% 0% 0#0#0"M0"p"M0PCI_CORE/PCI_LC/PCI-AD/IO16/OUT !QYQ#)(INO(`5)((`5)Eh)Eh)(P)(P%P%P#5P#5P!qh!!qhPCI_CORE/PCI_LC/PCI-AD/IO11/OUT!# QYQ )@INO(xM)@(xM''&m&m% % $X# $XPCI_CORE/PCI_LC/PCI-AD/IO12/OUT!'QXQ)INO()(M((M'' ''  ' ''  PCI_CORE/PCI_LC/PCI-AD/IO14/OUT!0'QXQ)INO(p)(p'x''xPCI_CORE/PCI_LC/PCI-AD/IO10/OUT!E#QXQ)XINO(p5)X(p5''&m&m% % ###PCI_CORE/PCI_LC/PCI-AD/IO13/OUT!Z'@QYQ)pINO(H )p(Q(H '@(QPCI_CORE/PCI_LC/PCI-AD/IO15/OUT!o'hQYQ)INO)]))Q)](Q)Q'h(QPCI_CORE/PCI_LC/PCI-AD/IO9/OUT!%0QYQ)INO)E))])E)]'e%8'e%8$%0$PCI_CORE/PCI_LC/PCI-AD/IO8/OUT!$QXQ)INO(`)(`'@'@&m@&m@% @% @$@$$@PCI_CORE/PCI_LC/PCI-AD/IO3/OUT!'QXQ})INO)EH)()EH'(PCI_CORE/PCI_LC/PCI-AD/IO4/OUT!&`QYQ)INO()(''&m&`&mPCI_CORE/PCI_LC/PCI-AD/IO6/OUT!&QYQ)INO()('('(&m(&&m(PCI_CORE/PCI_LC/PCI-AD/IO2/OUT!'QYQn) INO(-) (-'''PCI_CORE/PCI_LC/PCI-AD/IO5/OUT"&PQXQ)PINO(Q )P(Q 'UH&P'UHPCI_CORE/PCI_LC/PCI-AD/IO7/OUT"&PHQXQ)`INO)]()`)Q)]()Q'U&PH'UPCI_CORE/PCI_LC/PCI-AD/IO1/OUT",0 QYQ7) INO ( ) ( ( 5( 5Q 0 5Q 0 5mP mx hP m x h m 0  PCI_CORE/PCI_LC/PAR_CE0 QXQCEC4   H ! H!u!"0P!u"X"0P"XM0 MPCI_CORE/PCI_LC/PAR/$1N21"9p0QXQ(INO(p0PCI_CORE/PCI_LC/OE_PAR{(QXQTRIT 99!!  0   0  uM uMuu(PCI_CORE/PCI_LC/OUT_CEQ QYQu`F4F4q`q``q8 q8M_SRC_ENs@HX F2F2 G2G2 hF4F4  G2G2 ] h ](h(h u8  M M55@ (  (  ( e( e( u8@ u8PCI_CORE/PCI_LC/S_FIRST HQYQ~F3F3 F3F3` G2G2H   EE` `E`HPCI_CORE/PCI_LC/DATA_VLD/NS_MDV"b xFX XG3G3  X  ` `    x x  x%  x x% PCI_CORE/PCI_LC/DATA_VLD/NS_SDV"d(XFX F2F2M@ M@u(XuM_DATA_VLDA QXQ @H1C1  F1F1 F4F4 G4G4 F4F4 0F3F3F` G4G4(G2G2 C hF1F1 ` (  ( ` `(  `    `  `       5 0mP ih hih ihmPmP P  P 5 @  5S_DATA_VLDB0 hQYQH (F1F1` 0F2F2 0G2G28 XG3G3U p 0U p` 0U p  8 XH (0 hPCI_CORE/PCI_LC/OUT_CE/ZERO_CE_T" 8HX##CEC4"x!CEC3!% CEC3 "(CEC2 (CEC4"PCEC2(CEC3"PPCEC2 CEC1D*CE5CE5CE5CE5CE5CE5CE5CE5CE5*M!X "(# M!X# "x!# # H# H#@#$h###$h#@!% !% "M%!% #%"M%#%#@##@###"M"ph"M"P"ph"Mp"P"Mp p p ("M"PP#"M$p#$p$p$p$p U# $p U# 0#  # 0 (  ( (u (u ("M"P"M   8 U 8 U  (( ( ( ( ( U ( UE ( UE  8( U  ( 8 PCI_CORE/PCI_LC/M_FIRSTC hQYQ pG2G2x XG3G3 hG4G4 5x X 5M M    p  h h PCI_CORE/PCI_LC/OUT_CE/ZERO_CE_B"( HX CEC1'CEC1'@CEC1#CEC2%CEC2&@CEC3&CEC3'CEC1 pCEC2D*CE5CE5CE5CE5CE5CE5CE5CE5CE5-$%% $%8% 'M&'M''M''M&@'M'M'M'M8'M8&%8&$%8$@$$@$@5##$@5#$@5$@$@$@u$@u##@#&'@&%%$1#@$1##@#X##X"MX"MX!!! U! U 0 ` 0  `    Q (  p    5 5M( MQ ( Q (UPUPPPP( PPCI_CORE/PCI_LC/CE_OK( xFX( (F1F1 0F2F2F1 5( (  5( x   0     M ( xM PCI_CORE/PCI_LC/OUT_CE/FIRST"x `GY hGC3G0 U h U    M x `M PCI_CORE/PCI_LC/OUT_SEL/OSEL"& QYQ( H1C1( F4F4H G4G4# F4F4#G3G3'F3F3'0G3G3'0F3F3'XG3G3!F3F3!G3G3"@PF2F2"(G1G1HF4F4G2G2 F1F1( G4G4 F3F3 G3G3"(F1F1"(G1G1!"HF3F3!"pG3G3!%F3F3!%0G3G3##F3F3##G3G3'F2F2'G1G1"x F3F3"x!G3G3&`F2F2&PG3G3&`HF2F2&G3G3$F1F1$G1G1'MX''M&P'M'MX'MX&%88&% %88% H% % H$H$H$HM$0$HM!$!%0!$!%!'X!$"('!'X"x'"('#H"x'!"M!"p!"M!"H"M#!"M##"M#(P'(P*!*!*!@)e*!@)e'''8'8'85'85&m&H&m&H(&H% &H('m&'m' ' &mP&mP% P% P$P#$P&mx&`&mx% x% x$X$X##"m"m" " ""p""x"p#-8"x#-8$$p###$p###$p######H( '( '0( (()e)e*( (*( (&%8`&%8X%8`% %8X&m&`H&m% % %%% % $XM#$XM#####H"x!#H"x ##H$p #$p $p#$p#####"M"M"M"M!!!5!5!!!u!u!"0!"( "0!"( ! 8! 8$h$$H#$$H%8$% %8$h$$h$hM$0$hM%($0$%($# % $% $%0$%%0% %%u% %u%%%%% %8 % % 0%8 M `% 0(5'0(5'X(5)Y)Y* h*8* h*!*8(8*!(8&8& &8&p 0& M `&p 0"M"@P"M!!!`` U U P ( 8 (P (M `8 (% x( % xH % xe xe x x  x   P  `( x  ` (x   ( P %H%e8 e8 0 M ` 0h  h  P PM PM P P!"(!"(!! U! ! U!u"( H   H  H M `!!!!!!u!u!!!!! U! ! U 0! M ` 0 M `  P  P (   PCI_CORE/PCI_LC/BAR0/EQ31-28%Mp'!HYP&8G3G3' &8'' )]')]* * * *0* ) *0)) ))*0y))u*0y)M 0)u(p )M 0'!(p PCI_CORE/PCI_LC/BAR0/EQ27-24%Jm'#HXP&G2G2&m&'&m(')e()e*!h*!(*!h*0*!()*0)AH))AH))E"))E"'"'#'"'#'#PCI_CORE/PCI_LC/BAR0/BR-31-24/$1N2613%{&P#QXQC&#xIIr' F1F1'0 ' '0"M'0 '0"M&m#&P#&m#&P#&#xPCI_CORE/PCI_LC/BAR0/BR-31-24/$1N2609%z&#QYQD&#IIr' F2F2' H' '( ' H'( &m!%"M&m!%#%"M&m$h%#&#&m$h&#&#PCI_CORE/PCI_LC/BAR0/BR-31-24/$1N2605%~&P QXQE&!8IIq' G2G2'U ' &P 'U &P &!8PCI_CORE/PCI_LC/BAR0/BR-31-24/$1N2384%}&! QYQF& IIq'! G4G4'!'! '!&m!&! &m!&! & PCI_CORE/PCI_LC/BAR0/BR-31-24/$1N2731%w&P$QXQB&$IIn'#G1G1'#'#'#&m$P&P$&m$P&P$&$PCI_CORE/PCI_LC/BAR0/BR-31-24/$1N2795%u&P"(QXQM&"IIo'#F1F1'#'#'#&m"&m"%"M&P"(%"M&P"(&"PCI_CORE/PCI_LC/BAR0/BR-31-24/$1N2793%t&"QYQN&"IIo'#F4F4'$h'#'$h'(#'(#&m#&"&m#&"&"PCI_CORE/PCI_LC/BAR0/BR-31-24/$1N2791%x&%@QYQA&%XIIn'#G2G2'#'#'8#'#'8% '8#&%@'8% &%@&%XPCI_CORE/PCI_LC/BAR0/EQ23-20%I^&H 8HXP&H0G1G1%&H0%%%% U&H 8% UPCI_CORE/PCI_LC/BAR0/EQ19-16%G['XHXP&HG4G4'U&H'X'UPCI_CORE/PCI_LC/BAR0/BR-23-16/$1N2613%e&QYQI& II`& PF3F3& & & (& % p& (&H% p&H& P&&H&&PCI_CORE/PCI_LC/BAR0/BR-23-16/$1N2609%h&P QXQJ& @II`&h F4F4&m &h &m % U% U% &P % &P & @PCI_CORE/PCI_LC/BAR0/BR-23-16/$1N2605%l&PQXQK&II_&H pG1G1% U&H p%% U&P%&P&PCI_CORE/PCI_LC/BAR0/BR-23-16/$1N2384%k&QYQL&II_& 0G2G2&m & 0'8 U&m '8'8 U&'8&&PCI_CORE/PCI_LC/BAR0/BR-23-16/$1N2731%f&PPQXQH&@II\'G4G4''(pu'(pu''&m&PP&m&PP&@PCI_CORE/PCI_LC/BAR0/BR-23-16/$1N2795%c&PQXQ?& II]'hF1F1'0u'h'0u&m8&P&m8&P& PCI_CORE/PCI_LC/BAR0/BR-23-16/$1N2793%b&QYQ@&II]'PF2F2''P'8u''8'8u&'8&&PCI_CORE/PCI_LC/BAR0/BR-23-16/$1N2791%i& (QYQG&II\'G1G1 'u''u&m&m%%&%%%&m' U&m' U' & (' PCI_CORE/PCI_LC/BAR0/EQ15-12%DR&HHXQ&hHF4F4&m&hH%u&m%%u%5%&m%5&H&mPCI_CORE/PCI_LC/BAR0/BR-15-8/$1N2613&W&PQXQ3&XIIT&h F4F4&m&h %M&m%%M% %&P% &P&XPCI_CORE/PCI_LC/BAR0/BR-15-8/$1N2609&V&@QYQ4&IIT&HF1F1&&H&m&&@&m&@&PCI_CORE/PCI_LC/BAR0/BR-15-8/$1N2605&Z&PQXQ5&IIS& G4G4&m& &m%%%5&P%5&P&PCI_CORE/PCI_LC/BAR0/BR-15-8/$1N2384& Y&hQYQ6&IIS&HG1G1%&H%&m&h&m&h&PCI_CORE/PCI_LC/BAR0/$1I2818/$1N48&O"x GYQ&`F2F2&&`&$@$@#E "x #E PCI_CORE/PCI_LC/BAR0/EQ%AO&@HYF2F2G2G2 u u#5 u%#5&H%&H&H% &H&m% &@&mPCI_CORE/PCI_LC/BAR0/$1N3071$"( FXF3F3 h!P h"( !PPCI_CORE/PCI_LC/BAR0/NLMEM%TQYQF4F4D8F3F38mm--PCI_CORE/PCI_LC/BAR0/BHIT$pQXQF2F2--pPCI_CORE/PCI_LC/BAR1/NLMEM'5QXQPCI_CORE/PCI_LC/BAR1/BHIT&QYQPCI_CORE/PCI_LC/Q16/OUT*!0QXQ(INOyx( Myx0 MPCI_CORE/PCI_LC/Q15/OUT*0QXQH(INOMxH(MMx0MPCI_CORE/PCI_LC/Q1/OUT* pQXQ(INO(@@  p PCI_CORE/PCI_LC/SERR_OUT&pQXQH(INO-H(M-MpSTATUS<38> FX  PG1G1  PEp Ep PCI_CORE/PCI_LC/$3N3489 8FXG3G3``y`y```PPP UP U  8 STATUS<36> GY C8PF2F2 D0-8P)-)M_DATA @XFX 8F2F2 XG2G2 F2F2k PF2F2pF2F2oG1G1pF3F3 N hF4F4 P H1C4 G4G4 F4F4 CEC4xG2G2< 8G2G2 AD1# %  %     M M    @x  h@ @@5@5@@@u @  M PHMHypypp`p`u`P@P@u@ X 8`u ` @ 8` @``u`upX`u@uX@uPCI_CORE/PCI_LC/GSR_IN(OUTI1)xGSRGSR)PGTSGTS()P(((((((X)x((XPCI_CORE/PCI_LC/OE_SERR~| hQYQHTRITx9Hx9  H   H   h PCI_CORE/PCI_LC/$3N3583hQYQ HF1F1H HhHADDR_VLD@ FXCEC4xCEC1CE5CE5``y`y``0`0``h h EExXmxXmMxMxxxyxyxxxxxExExxx x x x x  @ 5@ 5 M  5M   5STATUS<39>8`HY `hG4G4 `h II58`5M_ADDR_N' FX vTT z@TT {xTT |TT TT %`TT TT pTT  TT TT "TT !@TT $TT  TT TT TT  TT #pTT `TT  HTT PTT TT  XTT (TT "TT TT $TT  TT TT TT TT 8TT pXG3G3 pHH1C3  F4F4  G4G4X(G2G2 `G4G4J     e e e`e`  H  ( H8 (8hhE UE 0 U 0 0  0-P`(-P=X(= ( pH(5pX5pH5     y  ( y   X`8x  88m m  U0  U 00   0   @ ( $  H p  P" !@$#p 8%`" m  m     M M   (  ( VOY_INST/registers_be,5GY hF4F4  h  m   5m  5VOY_INST/registers/xfer_leni/len_reg/sub_86/n5631XFX-HF2F20F1 H  MM5500HuXHuVOY_INST/len<4>-  0 (QYQ3F2F2$8 PF3F3# 0G2G2{PF2F21hF1F1hF4F4hG4G4G3G3=h=h= P  Ummm U8 P U   00 ( mhm-@-@ 0 ( VOY_INST/len<3>-QXQ8`H1C3$ 0F2F2# pG1G11pF3F3zG1G1G4G4 xG3G3X5XX5Xy@My(@M`(M`M55u@u@u@  0@ U @ U x@ U p@@ U@@upuMM@@VOY_INST/len<2>- 0HQYQ  F4F4 G4G43F1F1$ F4F4#( G4G41PF2F2F2F2G2G2F3F3G3G3H1C3 2`G4G4!Q` Qh- h-@)@))))@)@)()()()()()h)h)h   EPHuEHu 0H      (    0HVOY_INST/registers/xfer_leni/len_bits149<4>20HYxF3F3xMuMuVOY_INST/len<6>- HQYQ0PH1C2jhF1F1-`F1F19(F2F2`@@h(h0`( 0` aHaHm (m m m`m`@H@HuhuPMMHVOY_INST/len<5>-  QXQ0GC3$ HF1F1-hF3F39HF3F3dG1G1Mp`M(8p`(8h88H8  ```EE   H  VOY_INST/registers/xfer_leni/len_reg/sub_86/n543-PFX)(F3F3(PG3G3/hF2F2,F1mPm(hmmmPmVOY_INST/registers/xfer_leni/len_reg/sub_86/n523/pFX&(&`F1F1%(&G1G1+x%F3F3.F1 % x%p%@% (%p%@% (%&m(&&m(&`&m% % $h$hH#H#H"MH"MH H HpHVOY_INST/len<9>-H QXQ2xF3F3i( F1F1/F4F4x G2G2(`G4G4xM 0M 0 0y`yh Phy P P-( - 0 0 0y 0H y 0y Px H y PVOY_INST/len<8>-p"QYQ2@hF2F2,H1C3gHF3F3/F3F3x"pG3G3(@HG1G1"H (0( ((0((((((m'Xm'Xm$m$#0p"#0-@H- y! !y!M!!mmMp0MpX`0MX`M@hM M! 0"(M!0"(p" M! "MM!"Mx"pp""MVOY_INST/len<7>-QXQ)XF2F2(xG2G2,HGC2/F1F19F4F4h(HG1G1XXX-`X-h`yhyx0-0yXHyH((-(H-mmHH--------VOY_INST/registers/xfer_leni/len_bits149<9>2.HY$F2F25$($5$(q#@q#@q q VOY_INST/len<11>-%@QYQ2(F1F1.H1C3&@&HF2F2eF1F1+H%@F4F4%0G3G3  M!"MM!( "M #"M% #0$% X%@0$E%X%@X% %0%@X% E%@&HE%H%@%@E%VOY_INST/len<10>-&QYQ2HF4F4.GC4&H&F4F4%`&HG2G2+(%F1F1&G4G4 7!G3G3 PM P P !"M "Mm"P#m"H#P#$H#$%&H%M PH M P! p"M!p#p"Mp% p#p% %&H%'(&&'(E'PH&M%`&H&mM%&H&m&H&%(%E'P%&E'PVOY_INST/registers/xfer_leni/len_bits149<6>2,HYH"F4F4M"H"M"""y"y"""P"MP"MP P  8 8(0(0--y` y` VOY_INST/registers/xfer_leni/len_reg/sub_86/n593+($FX'H%F1F1"H#F4F4*F1&H%&$($($(($$(M$xH#($M$xVOY_INST/len<12>-#QYQ&x&hF3F3+@$F2F2#G1G1 )"HF3F3!h#G4G48"M"H8"Mm!m! !x"M !x#x"M#x###$H#&mx&h&m% % $H#$HE$@$E$h##E$VOY_INST/registers/xfer_leni/len_bits149<12>2*x%8HY F2F2 0   0"M #"M% #x%8% VOY_INST/len<14>-! QYQ*P$H1C2"@#F2F2!`#G2G2c"F4F4!G3G3M$XP$#M$X#"X"M" "" "X"MX"MX X !! X M#`#M#@#"MM#"M!! !VOY_INST/len<13>-#QXQ'`$F2F2*x% GC3"x#F3F3!x#G3G3#G1G1pF3F3m$H`$m$H $H0# $H% x% % $@0up0um8m8 8 8   ## ##x#$@#@#$@#@##x#$h# $h$h0# $h#0#$##$VOY_INST/registers/xfer_leni/len_bits149<8>2%x&GY&`F1F1&m&`x&&mVOY_INST/registers/xfer_leni/len_bits149<7>2(XGY@ F1F1 x@  xqXqVOY_INST/registers/xfer_leni/len_bits149<5>2)@FXx8G3G3x8 Up  UP 0p M P 0M   y y     E E   E00E0000H@HVOY_INST/registers/xfer_leni/len_bits149<2>2#8 GYh (F4F4m h ( Um 8  UVOY_INST/registers/xfer_leni/len_bits149<1>2 GY G1G11H 1HVOY_INST/registers/xfer_leni/len_bits149<11>2'H$FX8#G3G3$8#H$$VOY_INST/registers/xfer_leni/len_bits149<10>2&(&PFX#F4F4$x#% $x% M%(&PM%VOY_INST/registers/xfer_leni/len_bits149<3>2$ 8FXH G1G1 H    8 VOY_INST/registers/xfer_leni/len_bits149<13>2"(#FX!G3G3 x!#8 x(##8VOY_INST/len<15>- QXQ"(#F1F1!(#G1G1!G1G1  F1F1M! M$M!M'XM$M'X((E(x'E(('x''(''&m&m% % # !#(##"M"M!! ! ! #(##"" "M "M   VOY_INST/registers/xfer_leni/len_bits149<0>2  8FX F2F288-  8- VOY_INST/one- QYQ (F2F2 HF3F3@M H@MEE( VOY_INST/three-QXQF G1G1   E  E  @ @ VOY_INST/nomore-QXQ 8F2F2 `@F1F1 HF3F3 (F2F2 PpG3G3 PF3F3< PXG3G3E8EpMpMppp5hp5 X (` X`hh  Pp  P   `@ } PX   EE E H E } h}hE5E5VOY_INST/two-QXQG4G4VOY_INST/registers/xfer_leni/n52525FX#F2F2#F2F2 F1F1 F1F1("@F1F1 F3F3&hF3F3%@F4F4 F4F4 (G4G4` F2F2 G2G2HHF4F4hHG4G46m! m!#0"#0"`""`q!("@q!q q    M (M ( ( (1'`&h1'`5%5%%@5%q$ ## ###M##M#% #%@% 5"#q$5"%@q$%%@% y8y88585888u8uMm @ m @` m @ @ @ @ @    ( x xuxxu@@MhHMHHuM u VOY_INST/registers/xfer_leni/n5112FX3 F4F4(F1F1PG1G1F1F1G1G1#F1F1 #G2G2 F3F3 G2G2@"(F2F2X F2F2&HF2F2%F1F1 8F3F3  G2G2 F3F3 G3G3(F1F1(0G1G1F1XP   !P "( !""( ""% %% #%&H%%%%%$$=#0"=#0"`"p"M"` " # """M"M"""y"y""M0"x"M"h0"xp"M"hp"Mp ! p ###M#0#M#P#0#M"P#M"""y"y"""@"M@"M!! ! ! % x % x%%%M!@"(M!   X      1  1 5 05 8  M0M     M 0M (0(y XX  X  Xy Xy X X Xppp-p-pp88mhmph%p%%8%8% ((0qq%` % % (% P% % % %`%`VOY_INST/registers/ldin_delayed<27>/0'QXQ%F3F3H(%@F4F4I&(%@&mI&M'&m0'M'1&%1&5&0'5&VOY_INST/registers/xfer_leni/n51924GYHF4F4(HG4G4#G4G4(#G4G4!G1G1! G4G4 F1F1 G1G1 HF4F4 8G3G3`"(G2G2!G3G3&G3G3%(G1G1xG3G3(P  P  P UP PP UPP$(#$#$-#8P&m&P&m%%M%0&HM%x&0&H-%x&-%%(-%-#8-#8- xm x!!m x (H HPu -`x-`HPu!! !`"(- x!P8PuPPPuP- x!- x-----8-8- - VOY_INST/registers/xfer_leni/n51427(PFXF1F10G1G1#G3G3#F1F1 G2G2 F3F38 F3F3 G2G2 F2F2 G2G2("hG1G1@!G1G1&HG2G2%@G4G4hG4G46F10%&H%%@%M%M%1#@#1#@#1#@1 1 50h5X0hM HX @!y! !y!M!!p! M!P p! M HP  H  HM HM H--m("h  8   P P  P  p  ppH0HHPPpM0hM0 pppMpMp  MmM(PmVOY_INST/registers/ldin_delayed<26>/p(QYQ&F4F4G%(G1G1 % %(% %8&m%8&mm'8m'8 '8 '8'8'8&''8p('VOY_INST/registers/ldin_delayed<25>/&HQXQ`! F4F4f("F4F4!`! #8!"(#8""(#0"%$#0%'X%$&H%'XI#X("0#I#X0% 0#0% y%y%%%%&H%VOY_INST/registers/ldin_delayed<24>/&QYQx"HF3F3e"hG1G1"Mx"H#"Mp##X#p#$X#m%$m%'P'P='P&='PQ#@"hQ&Q#@Q&U&U&&&&&&&)&&)&VOY_INST/registers/ldin_delayed<31>/)($QXQ F1F1! F4F4R F1F1  "M "MQ" "Q""M "#"M#E$p($E$p!! @"M!@"My##y##$`$`$`$`E$`% E$`($%   "M #"M#E$P($E$PVOY_INST/registers/ldin_delayed<30>/(h%@QYQ0!G3G3! F4F4Q!G1G1 8!(p8p)Q(p)p)Q*0)*(*0*(E*(*0E*()*0))))U)U'`'`$h%@$5!h! 5!ha!hH"(a!h@"XH"("M@"X#"M#$X$X$X% $Xh%@%  0!"M #"M% #% %%%%% h%@% VOY_INST/registers/ldin_delayed<29>/!'QXQ8#F3F3M#F1F1 #8#% #&m% m'&m'm'-'''-'#8#%#8(%'(VOY_INST/registers/ldin_delayed<28>/ P(QYQ#F3F3LH#G4G4h##h% h# %h% &m %m'(&m'(m'(''(-('P(-(-$xH#% -$x&m% '&mP('VOY_INST/wdis- HX@F2F2`G2G25F1F14G2G2 F4F4( G4G4F2F2`(F2F2(G2G27@HF2F2hG1G1"M@HMX X XXXMXMX XQ@hQ@Uh`@m8m8 Uh(Uh`(Uhhh h( hhh( (h h`5 `5``Ex xEx xEx@ x@VOY_INST/dec_len- HY5F2F2F4F4h@G4G4Mh@p@Mx p@ x  MMyy8M8M88  =88M8M8uuyHPyhPHP  hP(u  ((u (==} }VOY_INST/registers/xfer_leni/n5122GYF2F2 G4G4G0 55hh-)-)VOY_INST/registers/xfer_leni/n5172!x#GY8(GC2G2G2uu u u55  0#0X##X% X#X% u%p% u%p% y$`(yMyH(M@XH(@Xy$`$`y$`M$`$`x#M$`VOY_INST/registers/xfer_leni/n518290FXG1G18F1 55MhMPhEPEyHMy0HMVOY_INST/registers/xfer_leni/n51528xHY30F3F30F3F3XG3G3 h5Xh50h50yyMxMVOY_INST/registers/xfer_leni/n5133 x8GY6PHH1C2`PG2G2MPHx8M`Ph(h@(@x8VOY_INST/registers/wep_xfer_len0 GY5F3F34G3G37xhF3F3x0G3G3mxhm  x0 MP0MPX@0EX@E  `5`5`````u`u  m mVOY_INST/registers/wel_xfer_len/hhQXQ((G1G1  ((  m myy x( x(-((-hhVOY_INST/registers/xfer_leni/n131<10>3 6xHY#CEC2#CEC2 CEC1 CEC2x"`CEC3!CEC3&xCEC1%CEC1x!xMMM xM     '`&x'`$$%$!! "#!x"`"#"!!  `x`VOY_INST/registers/xfer_leni/n131<0>2xGY CEC1  CEC1(CEC3CE5 CE5 CE5  @ @ (EXxEXVOY_INST/registers/xfer_leni/n5202x@GY HG4G4HMx@MVOY_INST/registers/xfer_leni/n5212(FX F3F3XX``M`(M`VOY_INST/registers/xfer_leni/n5222 GY8 G3G3 8 m   m VOY_INST/registers/xfer_leni/n5232H FX (F4F4  (   m `H m `VOY_INST/registers/xfer_leni/n5242 8GY G2G2 h 8 hVOY_INST/registers/xfer_leni/n5262 FX8F3F38 P PHHVOY_INST/registers/ldin_delayed<23>/pHQYQaF1F1`G2G2hhhhEE`EMpHMVOY_INST/registers/ldin_delayed<22>/0QXQ`G1G1@F2F2  U U  Q h Q h U  UMxMx@0MxVOY_INST/registers/ldin_delayed<21>/ (QYQ\ F2F2 (G4G4 ` 8  ` (8 m  ( (m VOY_INST/registers/ldin_delayed<20>/P QXQ[ G1G1H F1F1   m 8P m 8 H P  VOY_INST/registers/ldin_delayed<19>/0QYQW F2F2 8G3G3- -mm80VOY_INST/registers/ldin_delayed<18>/ QXQVX8G3G3 F1F1X8    ``VOY_INST/registers/xfer_leni/n5302`GYG2G2`VOY_INST/registers/xfer_leni/n53123FX F4F4 uP  uPVOY_INST/registers/xfer_leni/n53222(pFX9@F1F1 8M@88M8 88m8 88m8-88-M(pMVOY_INST/registers/xfer_ladri/ladr_reg/add_69/n294#FX#F1F1#G1G1F1#-##-###-VOY_INST/la<4>-`QYQ F1F1 G1G1#`F4F4 3h`F4F4 1x`H1C4 ex`eh`%e`% X  X  X X-##`#"M"M   X-` X-VOY_INST/la<3>-"p`QYQ - !G1G1 / DC1 hF2F2!hG2G2a F1F1#F2F2- --- @m @m ! "0! "x "0""x """p`"!!h! h m x ! m x m x!"p`!###-#"p`#-VOY_INST/la<2>-"0QXQ!F3F3!G3G3# F1F1 . F2F2 -! G2G2 ,!!H1C3 <(G1G1(M' M' ' &' &&Q&&%&mQ&%&m&m%&m%&&m&&m% % ##"M! !!! ! ! ! !!!!!!-"0!-#-# #-"M"0"MVOY_INST/registers/xfer_ladri/ladr_reg/add_69/n304#XHY"xF3F3"x0G3G3`F1F1Q``HQ`!HH$H!H$$H$ $$P-$ " "x0" "x#" $Pm#$P$Pm$P-$P#X$P-VOY_INST/la<6>-!QYQ#@H1C3#F2F2#G3G3 8 F3F3 6!H1C3  !    u!q u$1#$1#@$1#5#5##5!q!!qVOY_INST/la<5>-pQXQ 4@DC3#GC2#F4F4#G4G4_`hF2F2!G4G4 p!#E p p##E p##$1 #$1 #5Hm`h-m-@-`-``--`p-#5H##5H##5H##5H uHp uHVOY_INST/registers/xfer_ladri/ladr_reg/add_69/n324PFX8HF3F3hG1G1F1 @Mh(@M8`(M8`M8HmMmP-PP-P8@-8@P-VOY_INST/la<8>-PQYQ"(F1F1"((G1G1 HF2F2HG4G4 =(F1F1 ;(H1C1((mm  MxxMx xxmx xxmx-xx-xH-x- H -! "((! "(!    PVOY_INST/la<7>- HQXQ 9H DC1"H@F4F4"h@G4G4\HF1F1(F4F4#G2G2 H   P Pppp-p-mmQH m mQQ(!mQ#0##0"M0"M0!m!m  H !"h@!"H@ H!VOY_INST/registers/xfer_ladri/ladr_reg/add_69/n334XHY F2F2@G2G2`F2F2- @-  m ` m -  X- VOY_INST/la<10>- QYQxGC1(F2F2 (G2G2G3G3 !G1G1 !H1C30 !!0 -!!!-!p"(!"(p"(%!"(%! x( (eQ( Q(x x! x88e eVOY_INST/la<9>-@QYQ >XDC4XH1C3F4F4(G4G4@G4G4[G2G2"`G2G2M`X`M` `` `mm`mm ("MH"`! "MH!  @ m m@` @`m` H`mXHmXm@@VOY_INST/registers/xfer_ladri/ladr_reg/add_69/n354HFXF3F3F1HVOY_INST/la<11>-QYQ ! DC4F1F1G1G1uF1F1hF4F4G4G48pG3G3!! -!! -! ---h-hhMM  ((M((MEMEmhhhmhhhhhhhmhmh h hhM8pmMmVOY_INST/la<12>-pHQXQXF3F3HG4G4HF1F1HG2G2 #(`G4G4 "`H1C4 (` `m H-m-PhmPhMMH XHpH XXpH XVOY_INST/registers/xfer_ladri/ladr_bits129<12>3HYF2F2QHQH55 pM ppM ppmp pPmpx@Pmx@mmmmmVOY_INST/la<14>-hQYQpH1C2`F2F2hG4G4 ("(G2G2 'p"(H1C2e!"(e!p"(e! x xPpPm(pm(mm  mhmh`(mh((M(M((hhVOY_INST/la<13>-P@QYQ %8@DC3HGC1h@F4F4H@G4G4`HG1G1XG3G3h-Hh-E-E-8@-Hmmmmh@m-`X`P@-H@P@-VOY_INST/registers/xfer_ladri/ladr_bits129<9>38xGY@F1F10M@0MmMm8xMVOY_INST/registers/xfer_ladri/ladr_bits129<8>30FXF3F3mm x  x0 VOY_INST/registers/xfer_ladri/ladr_bits129<7>3"x8GYF2F2p pp"Mp p# "Mp"x8# VOY_INST/registers/xfer_ladri/ladr_bits129<6>3"(FX(F4F4-X( -X ! ! "MX"("MXVOY_INST/registers/xfer_ladri/ladr_bits129<5>3#GY#!PG3G3!-!P!-"M#"M##VOY_INST/registers/xfer_ladri/ladr_bits129<4>3#FX0!F3F3!!!"M8#8"M8##8VOY_INST/registers/xfer_ladri/ladr_bits129<3>3!GY+hG1G1 h u!h !q u!h!!q VOY_INST/registers/xfer_ladri/ladr_bits129<2>3 pFX,F4F4 H H H p HVOY_INST/registers/xfer_ladri/ladr_bits129<1>3 -!!GY$ `F4F4  `! ! !!!! VOY_INST/registers/xfer_ladri/ladr_bits129<13>3HFXF3F3(@(@(H(VOY_INST/la<15>- QYQ *x"DC4HF1F1G3G3b("G4G4#0("e#0#0e#0x"!e#0!XXe8eH(8 H( H MMPP 8 8VOY_INST/registers/xfer_ladri/ladr_bits129<11>3XGYXF3F3 Xm mXVOY_INST/registers/xfer_ladri/ladr_bits129<10>3FXhF3F3 8mh 8m--VOY_INST/registers/xfer_ladri/ladr_bits129<0>3 . FX"xG3G3""x"M 8""M 8 8 8VOY_INST/registers/xfer_ladri/n2924FXHF2F2(@F4F4hF4F4`F2F2 F2F2@F4F4F2F2(F2F2"`G2G2$  F1F1#!`G4G4,hF2F2+hG2G20 F1F1& m  m  m%P!P"`!P%P%PePePHUHQU h h !`    p @p@U`UhU(M MMM--mm  hh(@@HQQH H UUVOY_INST/registers/xfer_ladri/n2813(FXF4F4 F2F2(F1F1h F4F4F1F1hF1F1F1F1HF3F3"(G1G1$ F2F2# HG1G1,F3F3+G3G30 F2F2D hm m-0-0XXEp P P P P- P- ! !! - H - `! `!!Ep!hh hh(h(((`MH``M`0-0-mm  xMxMM0MX0MXX(MX h ! h-``-` ``"M` `"x"M`"h"xE"h!"(!EpM (M M !8 "(!8"H"(E"HEp EpEpmm E  E( VOY_INST/registers/ldin_delayed<11>/HQYQF4F4b!G2G2 xMxMxx- ! -- - - 8H 8VOY_INST/registers/xfer_ladri/n2824XxGYF4F4"H`F4F4"h`G4G4G3G3X0G3G3PG1G1G2G2(F3F3G2G2!hF3F3!HG2G2XG3G3h(G1G1G1G1pG3G3* m m !m!h!m! !H! ! !!  -Xx-"M"h`"M"H`"M   8- 8-( 8-xxP-P-0P0 5P  5m m  MMp(MX`(@X`h(X0@@XXx@VOY_INST/registers/xfer_ladri/n2794!H0FXhF3F3"( F1F1"xPG3G3G4G4(G1G1XG3G3 G4G4F2F2hHG1G1 F4F4 G1G1G1G1G2G2 G4G4(G2G2F1/#-"xP#-"M!"M! H! H!!!`!-hH--8!-"( !- x x!` !`!M !M Xm  Xm8 8  8888-8-8mx5Xx5mX 8X 8 8M 8MH0mhm  (  m H0m(MMmH0mVOY_INST/registers/ldin_delayed<10>/pQXQ F4F4c F1F1 I 0MI 0M 0 0  0 "M "M" "MX" "MX X XXpXVOY_INST/registers/ldin_delayed<9>/6@QYQF3F3]!G2G2!G1G1 P  P P P "M #"M#######"M"M  ! !X '!X ' ' ( 'M(8 (H'M(8H'y'(y'(yy ! --mm@VOY_INST/registers/ldin_delayed<8>/5QXQXF3F3^ F4F44F2F2X-(xx)M-(x)x)M)))8)8))))(')('''ppp  #E ##E######M#MQ QM MpppVOY_INST/registers/ldin_delayed<15>/QYQH1C2HF1F1l PG1G1 Hm(5m(5--0 00M@ HM@ H 0! 0 ! @u  @5 P @5 @ @ @u @u @ @ @ @ @ U @ U @ @ @ @ @ 5 @ 5 @ @ @u @u @ @ @  @  h  uhh uhVOY_INST/registers/ldin_delayed<14>/QXQPH1C20F3F3m!0F3F3p50p5pppupu--  !X MP HuM Hu H  H! !X !!X !0 !X  0M 0MI IHM HMVOY_INST/registers/ldin_delayed<13>/QXQH1C4F1F1g!@G4G4 !@ --p Ip p I I  PPVOY_INST/registers/ldin_delayed<12>/(@QYQH1C1h`F1F1h F1F1  ( (- (- (h`-(hh)A-(h)h)A)))8)8)P)U*0P))U*0) ) *0 ) ) *0 )P)P)@)A@)A(h(h  MMymym um um(@VOY_INST/registers/xfer_ladri/n2784A8GYp(H1C2`F1F1p(M`MM8M88VOY_INST/registers/wep_xfer_ladr0BH 8FXF2F2 G2G2HF3F3HG4G4-H-mm  hMhM   MHMmm     h  h  U H 8 UVOY_INST/registers/wel_xfer_ladr0AQYQG1G1 ' `' ' `)Y`'E*`)YE*((q'P&q'Px&H& %xx&H% %x% ##"M"M   H H H HVOY_INST/inc_la- HY(F1F18F3F38G3G3 8 8 --i@i @x   ( PPyPyPPPPPEP(EP (x  x xxxMxM55   VOY_INST/registers/xfer_ladri/n111<10>4C xHYHCEC2CEC1hCEC4CEC3CEC1 CEC3 CEC4CEC4   H%H%e0h MxMe0xe0VOY_INST/registers/xfer_ladri/n111<0>4+PFX"X`CEC4`CEC4 xCEC1CE5CE5CE5 Hm x H Hm H- H H-MM`M"X`(-M(-(((mP(mVOY_INST/registers/xfer_ladri/n2834#!XGY!G3G3!q`!!q !q`!X!q VOY_INST/registers/xfer_ladri/n28440 FX `F1F1 mX `  mXVOY_INST/registers/xfer_ladri/n2854+GYPG3G3 0-P 0 0- 0VOY_INST/registers/xfer_ladri/n2864 ,hpFX`F4F4`hpVOY_INST/registers/xfer_ladri/n2874$ FX"(HG1G1!-"(H!-   VOY_INST/registers/xfer_ladri/n2884"xGY"@F2F2"M`"@"x"M`VOY_INST/registers/ldin_delayed<7>/2!QYQHH1C2"(`F1F1#!G2G2 IH%mI%8%m$%8$Xm$$Xm##"M!m"M!m"(`!!m!! !! VOY_INST/registers/ldin_delayed<6>/1 QXQH1C2NF3F30 F4F4 UU! !``--       @  @VOY_INST/registers/ldin_delayed<5>0.QYQ"HF4F4+G4G4! p"H! p% p% p% pVOY_INST/registers/ldin_delayed<4>0-phQXQIF4F4,hF1F1 -  - hphVOY_INST/registers/ldin_delayed<3>0& QXQH1C2R(F3F3$!(F3F3 I!-Ip-(p--pp-p pp!-!(!- p  pVOY_INST/registers/ldin_delayed<2>0%!`QYQH1C2"(F1F1"hG4G4Ix%Ix%-%%8X%-%(`%8X%%(`%#5#5!q"M"h!-"M!- !` !q"(!`!qVOY_INST/go- 0PQXQ  F1F1 XG2G2 Y P F3F3 X P!G3G3 ] ` F1F1 \ `!G1G1 a hF2F2 ` 8hG2G2 e8hF2F2 dXhG2G2$ (-X(-p(0p ! P! ! P ! `! ! ` $ !}% $'X}%(&H'Xp&(&H0p& % 8h % h  % E 0( E 0p!( 0p!EXhE8hE00 0(0 ((y(((y(u(0PuVOY_INST/registers/controli/lwire5p QYQX DC4MX p MVOY_INST/registers/lint0{0QXQ8INO 8  p  p h 8h 8`X`XMMyy0VOY_INST/registers/controli/pwire5pQYQXDC4MXpMVOY_INST/registers/pint0|0(QXQTRIT 999999P P x  x  MMM0(MVOY_INST/xfer_idle-xHY F3F3 pG3G3D*8M p8MxVOY_INST/registers/control<17>0b(QXQ`F1F1 @m`@m@ @ @@M@M@@@y@y@@@@@E@E@@@M(MVOY_INST/init- QXQ `F4F4 G4G4 Y  F2F2 X 8 G2G2 ] x F2F2 \ G2G2 a F4F4 ` @G4G4 epF3F3 dpG3G3  H1C4 FG2G2 pG3G3 @PG1G12mm      `  H `  H !  m" !#m"###0#%!#0 %! e  e `5 e5( p m m--( @P( ( (   P Phh x % 8 %  % @ %  E   E   P  Ppp   VOY_INST/registers/control<7>0lQYQhF3F3G1G1m8mxm8xmhxmVOY_INST/registers/control<3>0pQXQ(F3F3HG1G1X-(`X-`-H-VOY_INST/registers/control<9>0jQXQF1F1G1G1H F4F4xHF3F3@@MH MMMxHM@@VOY_INST/registers/control<8>0kpQYQ@F2F2hG4G4(F1F1HF4F4 (MMMM@MhhpMhMHMpVOY_INST/registers/control<13>0fQYQwF2F2F3F3@(F2F2  H HHHM@(MXMXXMXXVOY_INST/registers/control<12>0g@QYQv(G1G10G3G3(@F1F1h (@qh 5q15@1X (X 0@X VOY_INST/registers/controli/n10014 FXH1C4GC1xGC3@GC1GC1 G2G28GC1GC1xGC1F1HHhhmm   p p  p p- p--XH-XxHx55@5MM -8-mmxm  VOY_INST/registers/wep_control0SH FX@F4F4G2G2F2F2G4G4@F2F2xG3G30F3F3PG1G1hF4F4 hG4G4F3F3G1G1 F2F20F3F3`F4F4F1F1HF2F2xpF3F3hF2F2>F2F2F1F1[ hh    HH0P00(PMX0(MXHHMM  ! $!(%@$%@(%@%%@q%%'Xq%(''Xx'('@x'hy8y 88M 8 8 8M 8X 8XhhH50H5MM@ hhh@M@M Mx Mx m H m@ 0 8 P  8P P 5P 5 x  x8 H 8 HH hhh@@H@@ xp@ x@ P`@@@ @ @    5 H  5VOY_INST/registers/wel_control0R! QYQF1F1(G1G1F1F1G1G1(F1F1(G1G1(F1F1G2G200F3F30XG3G3F1F1G2G2! F4F4@(F1F1 F1F1F4F4`F1F1XGC4! F4F4 G2G24@F4F4a - - "M "M # ##"#""E#0"E#0q#0"xq#05(5MMyy MMMXMyyEPEPqPqP(``(``` 0X 00 @(    !((0u0u  Ex5Ex5!! !@PmPPmP-PPP-P !! ` ! hh`` !! !` ` !X`(M!M!!0"M!"x0"Mx"("x !Xx"(@ !X  @ @ @@ !! !VOY_INST/registers/control<2>0qQXQF2F2 $F2F20  pp00VOY_INST/registers/control<6>0m0QYQ8F3F3(G4G480 h(0 hVOY_INST/registers/control<15>0dhQYQy@F2F2F2F2@F2F2M@5M5hE@hEVOY_INST/registers/control<14>0epQYQx`G2G2HF4F4xF3F3x55MpMpHMp`pMpVOY_INST/registers/control<30>0U QXQH! F4F4h! G4G4 F1F1M!h! M!H! M!!!y!y!!!8 8   8 VOY_INST/registers/controli/n10024pFX!G1G1 F3F3F1!!! !pVOY_INST/registers/controli/n9995V4FXHF4F4GC1@h@(h(H(((-(-(VOY_INST/registers/controli/n297<8>5[HY(CEC1(VOY_INST/registers/controli/n10005FXxF3F3F1xMMyxyxaaVOY_INST/error- 0HX(F1F1H1C3 (yyMME 0EVOY_INST/registers/controli/n10065?!GYF4F4<GC3Q Q   Q   M0xMh0xh#("#"(("!"(!!VOY_INST/registers/controli/n213<9>4<HYCEC4 `m` ``m``VOY_INST/registers/controli/n10075>FX8F3F3<F18UUVOY_INST/done- QXQF2F2<H1C1p0p00E0E0q0q00x0hxMhMEE   % Hh % ( Hh % ( 5 % 5 %  %VOY_INST/registers/controli/n258<12>5dGYCEC2CEC3XCEC4HCEC3 MxXxMxP5x``PP5HPP5PMPPPMPVOY_INST/registers/controli/n10085ExGYF2F2G2G2XF2F2hhyhhyhXhyhMhhxMhVOY_INST/registers/ldin_delayed<16>/QYQBG1G1`G2G200m0m0 00 00`--iiiHiHVOY_INST/dirc-hQYQ`hF4F4XG3G3 EH1C2o G4G4 uh u yHyHhy`hhyX5XhX5VOY_INST/dirb- QXQ H1C4 H1C2 x(F2F2 (G2G2 F4F4PG1G1(F2F2pG3G3G1G1PPM     EE MpM  x(  ( (55Ex Ex xExxxx85P85VOY_INST/registers/control<16>0c(hQYQ(F1F1t@G1G1(G4G4 8(m 8m    MMyyMM5(h5`5(`5(h@(hVOY_INST/registers/controli/n258<2>5 `GY@CEC38CEC3CEC4CEC3   @8X8X`VOY_INST/registers/controli/n258<16>5GY0HCEC3@@CEC1CE5(0H(@@ah(q@ah5(q@15(111VOY_INST/registers/controli/n258<30>40HY CEC2  0 VOY_INST/registers/ldin_delayed<17>/ QXQPPH1C2CXF3F3 XuupPPp5PP5aa    VOY_INST/dira- tGYG1G1Gp F3F3Fp G3G3J 0F3F3NF4F4@F4F4k(F4F4@ G1G1sG0X 5 0X 5yy  I(0I p  p     ( h 0 H( h 50 H @ 5 y  5  @  y y  y 0y0VOY_INST/ena-( FX pF3F38p H8 H Hy H H Hy H  H(  VOY_INST/pa<8>-rpQXQnhF1F1 xII =xF3F3pF1(x m PHmpHPHmpH0 m0 0hp0x0--pVOY_INST/pa<9>-qQYQnG4G4 II(G4G4oG4( %H-HHH-HH(e%e%VOY_INST/registers/xfer_padri/count1/CARRY27znhCOUTCOUT^hxF4CIN\CIN1hhxVOY_INST/registers/xfer_padri/input_ml<0>6e^ FXpF3F3 H  HVOY_INST/registers/xfer_padri/load_mode6f$P(QXQF"(F2F2^(F2F2]hhG1G1cF2F2bhG1G1hF2F2ghPG1G1pF2F2ohG1G1uPF2F2tG1G1xF2F2w0G1G1{F2F2zG1G1F2F2G1G1$F2F2%(G1G1#F2F2#G1G1"(F2F2"hG1G1&HF2F2&G1G1V&`F1F1UX&G3G3HG1G1GGC4MG1G1LGC4QGC2hF3F3"(GC1"(xGC1shMMM(-X- hhX XXXh555hP5xx--XX U   U Um m  !m"(x!m"M#"M% #% % &H% &&H'`&'('`'(&m(%)Y&m($*%)Y$*!* =*0!*x) =*0x)Q('Q(Puum!"(! X X X X"M X X# X"M X% X# X&m X% X' X&m X)e X'%*( X)e%*()u)ue('e('''!"(!-!-!p mm !"(p !p  0"#p#"p#$P% $P%8%  &m&`'&m'' 'XX& 'X('('''e%&H%8e%$p$0% $p%80% %0%8%0 0   pppmm 0 0m 0m 0&%(#"hhmP(mVOY_INST/registers/xfer_padri/enable_ml6g 0HX`CEC4eCEC2jhCEC4rCEC2dCE5iCE5qCE5_CE5%h%%%0%0%00VOY_INST/registers/xfer_padri/input_ml<1>6d]!GYoG3G3  @ ! @VOY_INST/pa<10>-`p(QXQ\h@F1F1 II !G3G3^F1( Mh@p(M00-!--p(VOY_INST/pa<11>-_QYQ\G4G4 z8II^G3G3]G4( 8 ( (((hM-hM-VOY_INST/registers/xfer_padri/count1/CARRY47\hpCOUTCOUTchF4CINaCIN1hphVOY_INST/registers/xfer_padri/input_ml<2>6cc FX^HF3F3 m8H m8 m  m VOY_INST/registers/xfer_padri/input_ml<3>6bb!GY]pG3G3 Mp M ! VOY_INST/pa<12>-epQXQahF1F1 XII # G2G2cF1(  X    -- @M @Mhp MXMX   p VOY_INST/pa<13>-d QYQa G4G4 II`XPG3G3bG4(  m mxMx!mM!m ( (((   XP  VOY_INST/registers/xfer_padri/count1/CARRY67ahCOUTCOUThh`F4CINfCIN1hh`VOY_INST/registers/xfer_padri/input_ml<4>6ah FXcF3F3 X XM X X XM x X  xVOY_INST/registers/xfer_padri/input_ml<5>6`g!8GYbG3G3 m m m! m!8!VOY_INST/pa<14>-jpQXQfh(F1F1 II ("pG3G3hF1(!"p"! u""!q$ u"#5%!q$%%#5%&H&P%%&H&`&H&P%x&H&`% %x% ##""x""("x!q("(!q( uPp uP5h(p50505--pVOY_INST/pa<15>-ihQYQfhG4G4 IIb "(G2G2gG4(Q!` "($p Q!`#!$p #!#!"#!"M""M"M"xx"M"`("xx"M"`("M!!   X5h X555--hhVOY_INST/registers/xfer_padri/count1/CARRY87fhXCOUTCOUTlhF4CINkCIN1hXhVOY_INST/registers/xfer_padri/input_ml<6>6_m FXh0F3F3 H50  H5VOY_INST/registers/xfer_padri/input_ml<7>6^l!`GYgXG3G3 5X  5!` VOY_INST/registers/xfer_padri/term_ml6plhFXF1F1hhh5h5-p-phVOY_INST/registers/xfer_padri/count0/Q_OUT<6>8W&HQXQ@h&`F1F1ZHG4G4BF1(&mh&`&m-%&H-% H !  $ ! $%&H%VOY_INST/registers/xfer_padri/count0/Q_OUT<7>8XP&QYQAh&G1G1ZXG3G3X- H- H-'(P&-'(&mh&P&&mVOY_INST/pa<2>-PQXQDh"@F1F1 II[(F4F4 $`F4F4FF1("Mh"@"M  - P(- P--mm- `- -m00m00VOY_INST/pa<3>-TQXQD"G4G4 IIa F2F2[XF3F3EG4( #""#h"X"!h"X!  X HH HVOY_INST/pa<4>-KhQXQ:h#F1F1 XII[ hF2F2 3`F2F2<F1( $h# $%%%8%8% $8%8$ $M#8$M#8M xhM xmp`-mp-- h-hhXVOY_INST/pa<5>-JQYQ:#G4G4 xII_hF4F4[F1F1;G4($x#$x##-#-#"M"M  XxPXQ PQ e phe pVOY_INST/pa<6>-OQYQ=h%F1F1 IIZ@hG2G2 8h! F4F4?F1(% h%% ##"M"M  - 8- 8xxx-m!h! m!   (0 (808ee-@h-x-x-xxVOY_INST/pa<7>-S`QYQ=%@G4G4 vII\`F2F2ZG1G1>G4(m`PmxPmHx mHm %%@%% % ##"M"M-!-!  `mm--`VOY_INST/registers/xfer_padri/term_ll6 YXHY<#F3F3;#G3G3?%F3F3>%0G3G3B&hF3F3A&G3G3F"HF3F3E"pG3G3@F1F1@- @X- @&&h"p"H##%0%XVOY_INST/registers/xfer_padri/count0/CARRY28Dh"pCOUTCOUT<h#F4CIN:CIN1h"ph#VOY_INST/registers/xfer_padri/input_ll<2>6x"(FXF"F4F4 #0"!! #0!!!!!X"(!XVOY_INST/registers/xfer_padri/count0/ORL0_OUT8Fh"0FXPDC3---- ! h"0!VOY_INST/registers/xfer_padri/enable_ll6y GYKCEC3PCEC1T`CEC4WX&CEC3JCE5OCE5SCE5XCE5&mX&&m% % ##"M"M-!-!` ` `h`h` - -    mH mHpmpmVOY_INST/registers/xfer_padri/count0/TCANDUP1_OUT8E"xGYQ@H1C3`-@-`--   "M "M""x"VOY_INST/registers/xfer_padri/count0/CARRY48:h#COUTCOUT?h%8F4CIN=CIN1h#h%8VOY_INST/registers/xfer_padri/count0/TCANDUP2_OUT8<h#FXGH1C188 8!8 -!!"M-!#"Mh##VOY_INST/registers/xfer_padri/count0/TCANDUP3_OUT8;#GY"xH1C3""x" """M" ""M"M""M" " 0# "# 0#VOY_INST/registers/xfer_padri/count0/ORL3_OUT9"(pHXJhDC2UhU!"(p!VOY_INST/registers/xfer_padri/count0/CARRY69=h%0COUTCOUTBh&F4CIN@CIN1h%0h&VOY_INST/registers/xfer_padri/count0/TCANDUP4_OUT9?h$FXLH1C2 --  !H %!H %%%% h$% VOY_INST/registers/xfer_padri/count0/TCANDUP5_OUT9>%8GY"xH1C3 #-X"x#-#-X#-!#-#-$#-!#$#-$#%@#$#E%#%@#E% %%8 %VOY_INST/registers/xfer_padri/count0/ORL5_OUT9"xHYS8DC1M8MP PP#EP P"x#EPVOY_INST/registers/xfer_padri/count0/TCANDUP6_OUT9$Bh&PFXVX&hF3F3&mX&hh&P&mVOY_INST/registers/xfer_padri/count0/TCANDUP7_OUT9*A&GYUH&G4G4-'H&'-'&'VOY_INST/pa<24>-&HQXQ&`F1F1 "IIg(F2F2F1((% %%0%@ %%@0%@%%@%%&H%&m&`&H&m "M" # "M %  # &m % &H &mVOY_INST/pa<25>-&QYQ&G4G4 "IIix(F3F3G4("M""Mm"#m"% #m%% %m%x&m%&x&mx(M''M''''''P'e'P'Pe'P&&e'PVOY_INST/registers/xfer_padri/count3/CARRY2:&COUTCOUT%8F4CINCIN1&%8VOY_INST/registers/xfer_padri/input_hh<0>6eX"xGY&hF3F3'X&h'X$$%#0X"x%#0VOY_INST/registers/xfer_padri/enable_hh6 HY%CEC1#CEC1"XCEC1&xCEC1CE5CE5CE5CE5'`&x'`$#@"X##@p##"p#$%$#$""1!1!    ``VOY_INST/registers/xfer_padri/input_hh<1>6f"0FX&G3G3&m&&m% % ##"M"0"MVOY_INST/pa<26>-$QXQ%F1F1 %XIIF3F3F1( @'@x''(x''(M('M(M%M%%$M%$%XVOY_INST/pa<27>-%@QYQ%@G4G4 $IIeF4F4G4(%%@%@% ! U"!Q$U"%@Q$%@$VOY_INST/registers/xfer_padri/count3/CARRY4:.%0COUTCOUT#F4CINCIN1%0#VOY_INST/registers/xfer_padri/input_hh<2>6GX%8GY%F3F3% %-%% X%8-%VOY_INST/registers/xfer_padri/input_hh<3>6H$FX%0G3G3h% %0$h% VOY_INST/pa<28>-#QXQ#F1F1 #xII )`"(F2F2F1(m!`"("Mm!m#"M#m#########xVOY_INST/pa<29>-#QYQ#G4G4 #II`PF2F2G4(m`Pumu550 0  $X  $X$Xm$X $X$Xm$Xx#$X#x#$h##$h##VOY_INST/registers/xfer_padri/count3/CARRY6:@#COUTCOUT"xF4CINCIN1#"xVOY_INST/registers/xfer_padri/input_hh<4>6LX#GY#F3F3##-$`#X#-$`VOY_INST/registers/xfer_padri/input_hh<5>6M#FX#G3G3h###h#VOY_INST/pa<30>-"(QXQ"@F1F1 !8IIc"(F2F2F1(  !8 m (m (HH m! "Mm!"M"@"("M!h"(!h!h"(!hVOY_INST/registers/xfer_padri/input_hh<6>6QX!GY"HF3F3"M"H"M-!X!-!VOY_INST/pa<31>-"QYQ  II"pG3G3  F3F3Q!"pQ! "Q!  ! x"M!"x"MVOY_INST/registers/xfer_padri/input_hh<7>6R FX"G4G4""h"M"h"Mh  h VOY_INST/pa<16>-QXQF1F1  IIG1G1F1(hmhmh h hhM!M!!M"(0!M"@("(0Q`"@(Q`5588   VOY_INST/pa<17>-QYQG4G4 IIF4F4G4( ppPP88  eeVOY_INST/registers/xfer_padri/count2/CARRY2;ACOUTCOUTuF4CINsCIN1VOY_INST/registers/xfer_padri/input_mh<0>6BXGYF3F3`-h`X-hVOY_INST/registers/xfer_padri/enable_mh6 HXCEC3 CEC3}CEC1CEC1CE5CE5|CE5CE5    MMHHVOY_INST/registers/xfer_padri/input_mh<1>6CFXG3G3``VOY_INST/pa<18>-@QYQshF1F1 |II@G4G4uF1( pp` ` h` ``@@VOY_INST/pa<19>-QYQsG4G4 @IIG3G3tG4(  uuu@uVOY_INST/registers/xfer_padri/count2/CARRY4;SsCOUTCOUTx@F4CINvCIN1@VOY_INST/registers/xfer_padri/input_mh<2>6VX@GYupF3F3`up`u-X@-VOY_INST/registers/xfer_padri/count2/ORL2_OUT;_uXFXH1C1MMMMMMMXMVOY_INST/registers/xfer_padri/input_mh<3>6WFXtG3G3uuVOY_INST/registers/xfer_padri/count2/ORL3_OUT;ctGYxH1C4mxmVOY_INST/pa<20>-PPQXQvF1F1  IIf(G2G2xF1(   U  U   ( m m55mhmhH`HPP`  mumPPuVOY_INST/pa<21>-QXQvHG4G4  @IIdG4G4wG4(  @ m H m H  h  Hh h hQ 0Q  P0 PhphpVOY_INST/registers/xfer_padri/count2/CARRY6;ev8COUTCOUT{F4CINyCIN18VOY_INST/registers/xfer_padri/input_mh<4>6[ GYxF3F3 U U   VOY_INST/registers/xfer_padri/count2/ORL4_OUT;qxFXpPDC2mpPmVOY_INST/registers/xfer_padri/input_mh<5>6\ FXw8G3G3Q8QQ Q U  U VOY_INST/registers/xfer_padri/count2/ORL5_OUT;uw@GYDC2 8 8    M`M`@VOY_INST/pa<22>-}QXQyF1F1 {IIjpF3F3{F1( puppupp xmx x0mx0MMVOY_INST/pa<23>-|QYQyG4G4 IIhxPG3G3zG4( -xP- m m m mxxPPVOY_INST/registers/xfer_padri/count2/CARRY8;wyCOUTCOUT F4CIN~CIN1 VOY_INST/registers/xfer_padri/input_mh<6>6`XGY{F3F3`-H`X-HVOY_INST/registers/xfer_padri/input_mh<7>6aFXzG3G3VOY_INST/registers/xfer_padri/term_mh6 8FXHG2G2EH (mE (m ( ( ( ( !  (!  (  @ @-- - -  0   0 8 VOY_INST/registers/xfer_padri/incr_mode6QYQMG4G4 Q@ @Q@H @HHmHm  hH   hH m  m      VOY_INST/registers/xfer_padri/n4197CHPFX8 H1C48H1C4HG2G2F1-8 -MMmm m -8x-x xx mHPmHmHPmVOY_INST/registers/wel_xfer_padr0h QXQH`F1F1H`Q) )Q) ) 0h  0VOY_INST/registers/xfer_padri/n4206MGYHG1G1XF3F3(F4F4G1G1 -(-X-p-mHmmmmmmppVOY_INST/registers/xfer_padri/n4187HHGYG3G3 F2F2XHF3F3G3G3MXHM- - P  P (  X ( @ X @(Q(0m00m0--QQQQ QQ VOY_INST/registers/wep_xfer_padr0 8 `GYIF3F3HG3G3NF1F1R`F4F4^!F3F3]!G3G3c!F3F3b!G3G3h F2F2g!0G3G3m (F1F1l!XG3G3"@hF2F2"xF3F3"xhF3F3C(F4F4B@G2G2HX%F3F3GX%0G3G3M #F2F2LX#G3G3R(! F4F4QX!G3G3WXF3F3VHHG4G4\ F1F1[ (G4G4aXF3F3`HG4G4fX"HF3F3eX"pG3G3HQ@uQu P PPxPhhhI8h  ( M M  M  8 ` X-hH-hXx! !0! ! P ! P!!!!!!M!M!xHHx) 05 ( 05I!!!!!!5!5!X!5II(I) "m"xh""m""x"-"#"-"M"@h#"M$P#$PI8% X%0% X%% ##X##"M-" #"M-" X!"M "MX"p"MX"H"MI!pppI8I8`I8)I!(! I!)) ) ) 8 ` n4130) OUTI2(P%@DC4%P%@e'X%e'X ( ( ]()u ](0) )un412 )8OUTI1' %H1C1i& %i(i&0)i(0) p) p) I( )8 I(n415h) OUTI2 X'DC3 (X'h)  (n414X) OUTI28(H1C4-(8(X) -(n4170) OUTI2X(DC4(HX((H(H0) (Hn416) OUTI2('H1C1'(''() (n419) OUTI2&DC3'X&)u'X) )un418p)8OUTI1&xH1C1&&x(&p)8(n421(OUTI2PDC2EPEM@ 0M@  0U  U    ( (h0h0-`-`---(-n420 (OUTI2( H1C1 q( qq q q (q (qhqhqqaa!! (n423P(OUTI2p DC2 m 0p m 0   5 5uuMMP(n422OUTI1 H1C3   HHn425(OUTI2 DC4@@    `  X ` X-@-@ ( n424OUTI1 8H1C3 8   XXn427 XOUTI1DC4m0m0 H H     H H X n426(OUTI2H1C1 P P@ @  ( n429  (OUTI2DC28888e (en428OUTI1H1C1n431"8pOUTI2DC1==}}8pn430! OUTI1H1C2((e en433$)0OUTI1HDC4 H#E &#E(&(*!)e *!)0)e n432#OUTI1h H1C1h n435& P) OUTI25DC2 HH(h)E(h))E*0)}*(*0}*( )u P) )un434%8POUTI23H1C1 m m m 8Pmn437("x)8OUTI11 DC1     #@  !$( #@"&!$("("&"x)8"(n436')0OUTI1/!H1C3 !!#E!&#E(&(*! )e P*! )e P)) ))ex) )0)exn439* ) OUTI2-DC3 8 8 8 ! 8 "M! !"("0"M!"H""("0"M#"H"!#"M#!% !#!&m!% !'!&m!' ( ) (n438))OUTI2*H1C4M)Mn441,')8OUTI1% `DC4  `! "M 0!# 0"M 0# 0$#(p$% (p#(p&m(p% (p')M&m(p')8')Mn440+!)8OUTI1" 8H1C1 m 8 m! m m$ m! m'X m$ p)u m'X!*( p)u!*(!)i!)8!)iVOY_INST/registers/wep_3001 QYQ xG3G3( U x( U( (  P  PVOY_INST/registers/wep_3c01 hQYQ 0F3F38 5 0 h8 5VOY_INST/registers/wep_3801 pQXQ PF3F3 U P U    p VOY_INST/registers/wep_3402 hQYQ( hG4G4 ( hm   m  h VOY_INST/registers/n13291` FXF4F4G4G4 G2G2F1 X X X `  Xn406 "0OUTI1! F4F4e!h!  "0e!hn4078%@OUTI2x F2F2m x !m $!8%@$n4088OUTI2` F1F1 `  8n409 'OUTI1F3F3G3G3!G3G3!GC3!!''' ''n4108! OUTI2`F1F1`G1G1`!G1G1` H1C1 ` ` `! ` 8!  VOY_INST/registers/write_enable2 QXQ (F1F1 5 (  5VOY_INST/registers/n13301 FX F1F1 G1G1 hG4G4F1(  (  ( ( 5m  h( 5m  ( 5VOY_INST/registers/addr_copy<7>1 /HQXQ{F4F4z(G4G4yHhF4F4xhhG4G4w@F4F4v(@G4G4uF2F2jF4F4i@F2F2h`G2G2gF4F4fpG3G3eF3F3dG3G3c"@F1F1b"hG1G1aX(F3F3`@G2G2_F3F3^G4G4\F3F3[G3G3HF2F2 HG2G2PG3G3G1G1G3G3`G2G2 G2G2(!G1G1 =HF4F4 <hG4G4 8` F2F2 7 G2G2 3(F3F3 2PG3G3  F2F2  G2G2 $ F1F1 #HG1G1 )h"F4F4 ("G4G4hF4F4G4G4F1F1(G1G1_m""m"h"m" " "@"M@"M@ 515-P-(-8!(!!Em m  @   @    p "h"@-@-888m8m8 @8 H@ H   X(  p p  p  p ph1( 1MhhMHhM ( @ @5@@5( (  p  p`  p pE@E`EhEHXE5`55PH X p H XXHX H(  H (@ @H HVOY_INST/registers/addr_copy<6>1/0QYQ{8pF3F3z8G3G3y((F1F1xxXG3G3w8F3F3v80G3G3uF3F3jPF2F2iH`F4F4hh`G4G4g@F1F1fhG1G1ehF2F2dhG2G2c8"HF3F3b8"pG3G3a(`F4F4`H`G4G4_HF1F1^HG1G1\hF4F4[G4G48hF3F38G3G3`G4G48G3G3G4G4xG3G38G3G3x!G3G3 =@F2F2 <`G2G2 8H F1F1 7H!G1G1 3H F1F1 2HHG1G1 ! F4F4 ! G4G4 $8(F3F3 #8PG3G3 )H"@F1F1 (H"hG1G1HhF1F1HG1G1F2F2G2G2[ x! 5((5MMXXP(Ppp(X(MX5xX5uPuPPPx#@H"h#@H"@Phh8#@8"p#@8"H#@ h8p-H`-(`---mm (hHU!h! U!h! U!h  H! H  H H hHh(h@(MhM@MPP@@ m 0mU(U(U(U(hU(@8@h`H`hh`80888h(M(`M(@(M( ((0 (8H HH8(8P0VOY_INST/registers/n13890PFX@II` `8 8PVOY_INST/registers/n141108GYO II ((8 8 8 8   8  VOY_INST/registers/n14060[GYLIIVOY_INST/registers/n134718GY[HG1G1H8VOY_INST/registers/n13860xGY>xIIxxVOY_INST/registers/n13481 =(FX(G1G1(X(XVOY_INST/registers/n13780GY7IIVOY_INST/registers/n13491\HFXHG2G2H    HVOY_INST/registers/n141908GYSXII8XVOY_INST/registers/n13501% 8H FX G2G2   --m 8H m 8VOY_INST/registers/n13960pFXFxII-x-HpHVOY_INST/registers/n135111_HpFX8F3F38HpVOY_INST/registers/n140408GYKXXII8XXVOY_INST/registers/n13521, 3HFX hG2G2  hm 8-m8-mHmVOY_INST/registers/n13850XGY=IIXVOY_INST/registers/n13531<aFXG2G2x xxmx xxmx-xx-xVOY_INST/registers/n13970 FXGX II X VOY_INST/registers/n135417  FX F2F2 X m X X Xm X  XVOY_INST/registers/n13920x!GYB!8IIx!!8VOY_INST/registers/n13551Fc"0FX` G2G2E p` !E p"0!VOY_INST/registers/n14170 <xGYRIIxVOY_INST/registers/n13561B $FX <xG3G3x  VOY_INST/registers/n13930H#FXC#IIH##VOY_INST/registers/n13571PHXFX`#F2F2E#P`#(h#E#P)A$H(h#)A$H)")")*8))*8))U(()U((&@&@&(& &(&p& M&p5M5uHXuVOY_INST/registers/n13990#GYH#xII##xVOY_INST/registers/n13581L )H"0FX#G2G2m"#m""MH"0"MVOY_INST/registers/n14150$FXQX$II$X$VOY_INST/registers/n13591ZepFX%F1F1H% %H% H#H#H"MH"MH H  ( (pVOY_INST/registers/n13840 7!GY<%XII$%X% $`% % `% `#`#`"M`"M !m! !!m!VOY_INST/registers/n13601VFX 7! G4G4m!! m!m( m( VOY_INST/registers/n14100"0FXN"II"0"VOY_INST/registers/n13611di(FX"(F2F2!"(!M!M!  -(-VOY_INST/registers/n13900"xGYA"II"x"VOY_INST/registers/n13621`g0FX"G4G4"" "" "h h M0MVOY_INST/registers/n14210~FXTIIVOY_INST/registers/n13631nhxXGY~F2F2  M M uu@u@@u@5@@@5@MM m m-M-xXMVOY_INST/registers/n13810}GY9IIVOY_INST/registers/n13641jjXFX}G1G1uXuVOY_INST/registers/n14020| FXJ @II  @VOY_INST/registers/n13651xdGY| (F4F4  ( M M   X xX xyx5yx500! ! y @ @y @M @ @ @M @ @VOY_INST/registers/n13940{XFXD II   (   (h U hh UhhhuhXhuVOY_INST/registers/n13661tfxGY{hF1F11hh1(1h1@1(x1@VOY_INST/registers/n14230z8GYVX@II8X@VOY_INST/registers/n13671GYz PG2G2 PhhVOY_INST/registers/n13820 2XGY:IImm  m0-mX0-VOY_INST/registers/n13681~8GY 2G2G2m`m`mm8VOY_INST/registers/n13830y(FX;II(VOY_INST/registers/n13691b8"xGYyx0F3F3 H x0H  m mX#X#m#m# #8"x #VOY_INST/registers/n14130xx`GYPIIx`VOY_INST/registers/n13701 ("xGYx(PG1G1 5(P5MMM$p$pM$p $p$pm$p $p0#m$p0#0"M"x0"MVOY_INST/registers/n13950wFXEXIIXVOY_INST/registers/n13711`XXGYwF1F1p p Q Qm m---XX-VOY_INST/registers/n14000v88GYIXXII88XXVOY_INST/registers/n13721 #8XGYv G2G2 P  P@@` `8X VOY_INST/registers/n13800uFX88II8VOY_INST/registers/n13731^GYu F4F4  `M `M  m m--m @m @VOY_INST/registers/n14220tGYUIIVOY_INST/registers/n13741 !GYtG1G1MM`` ``m` ``m`-` `-m  m  ! !!VOY_INST/registers/read_enable10 pQXQs@F1F1r@`F1F1q0F3F3p F1F1o0F3F3n0hF3F3mF4F4lF3F3k( HF1F1]0(F3F3Z@@F1F1Y(F1F1X`F4F4W@F1F1@ F2F2%  p% p p0(XX0hX@`X0X@0@@@qX@( HM 0@ p M 00 pp @(qX`qX@@ 0 p VOY_INST/registers/n14070( FXLTT M0M0  MMMM M @ ( M @VOY_INST/registers/addr_shadow_Q469<9>0QYQsXF2F2rhF3F3qF2F2pF2F2oF2F2nF4F4mhF2F2lF1F1kH F4F4]F2F2ZX(F2F2YHF4F4X@hF1F1W` F4F4H (F4F4?h--0MPHpMPPpMP((u(((u(5((5yy( u@huHH5HHH5HMHHM00P5 pH (0 05 p( `0 0` ( `PPPqMH M` ` yy( 0(  08 qHmhHmH H hhqXqX(q` 8qq8qVOY_INST/registers/addr_shadow_Q469<8>0QXQs`@F4F4r`F4F4qF4F4p`F4F4oF1F1n`F1F1mF1F1lF2F2kx PF3F3]`F4F4Z`F4F4YxF3F3XXPF2F2WXF2F2x F3F37yXPuyy0uy0000hhh=h x H HxHx PH  y`@XmyXmpPp((=`=`P`P`HHyy`yyXPyPMPPMVOY_INST/registers/n13870s@FX>pTTpxxyx@yxVOY_INST/registers/n13790r@PFX7TT HpHpMpMpppypHypp@Hyp@@PyVOY_INST/registers/n14200qFXSPTT xPx-xx-MM-`-@`q@qaaVOY_INST/registers/n13980pFX=TTGP TT x P x  X X---MMyyVOY_INST/registers/n14180oFXB!@TTR TTH!@H Hyy@ @ VOY_INST/registers/n14160nPFXC$TTH#pTTQP$TT#$##p# $xx%  $xx% P$x% %&m%&mM'8M'8'8'8y'8@'y'8@'@'`@'`@mP@mVOY_INST/registers/n13910mpFX<%`TTA"TTN"TT %`""MMyypVOY_INST/registers/n14030lFX9xTTJ HTTTTT  H U  UxMMVOY_INST/registers/n14240k( 8FX?P TTD TTVP8TT P   M   P8   M M  U( 8 UVOY_INST/registers/n14050]FXFTTKPPTT --PPPPhh5 5 a a   HHVOY_INST/registers/n3251x GYCEC4x CEC3 CEC2II  5I  5 x   x  VOY_INST/registers/want_backend1QYQ5G4G4eeVOY_INST/registers/n14010Z@0FXEPTTIP`TT x Px P`x xxMMMMy@0yVOY_INST/registers/n14090Y(FX8@TTM XTTUTT @x`xM`MH H  X H  (VOY_INST/registers/n14120X@XFX:TT@TTO(TT   M(uuMMy@XyVOY_INST/registers/n14140W@FX;TTPTT555y@yVOY_INST/transfer/iwf_fifo/ram_we?  FX `WEC1P"WEC4WEC2"(WEC2WEC2WEC3#WEC2 HWEC4WEC2hWEC4$WEC2WEC1WEC2WEC3 PWEC3WEC1 @H1C3HF2F28HF2F2XHG2G2  xF2F2  `G1G1hF3F3 XHF2F2G3G3l  P  ` ` !h"(!h!h!h-!h-!hm!hm!h !h !h ! ! !  ! e   eqqqhqeeHH  h( } H``} `}  ` 8  h( 8  h(    % % a$($a$($($($($( $( $ $( % $ 8 %"P"a"#a""""" " # " # # 8 #XXyXyXXXPPXXy8y888pmpmp p pp--i8i@8@XXh(HXHH(HHHH   e  e    `   h  @ h  @ ( x  ( H   H e XH  e     8 @  8  XH8H   I I M  MVOY_INST/transfer/iwf_fifo/n796@1 @PFX 0PG3G3 0@H1C3 @ F1F1 @ F1F1 @HG1G1 0F3F3 0G3G3 @F1F1 xhG2G2 0G3G3 @F1F1 @G1G1  F1F1F1    e 0 0 0 e @P e00 e xh e--m @Pm x @  x @ x @ @0P @H @ 0@ @PVOY_INST/transfer/iwf_fifo/n794@v  PFX`F1F1 hF3F3 F1`m`(`m e(( m e( m h P mVOY_INST/transfer/iwf_fifo/status<3>@. HQXQ XF2F20F3F30G3G3 XF2F2 G4G4  `G4G4 `-- e0 e0 00-- e X e H e0 X H e0VOY_INST/transfer/iwf_fifo/status<2>@y  HQXQ G1G1 0H1C3 G2G2 H1C2hF2F2 F2F2 HG1G1 @G4G4 F3F3 xHG2G2h8-8-H8-8888m8m  e @```` EX  EX @ e xH @ e H @0``mm H VOY_INST/transfer/iwf_fifo/status<1>@o  QYQ hF2F2hG2G20hF3F3 G4G4 F4F4 (F3F3 xG2G2 @G1G1 G4G4G4G4 eH x eHHH`h`m @m0h em  e  e  hh`-hhh e h ehh  eh`-(`- e  eVOY_INST/transfer/iwf_fifo/status<0>@p QXQ F1F1F4F40G3G3  F1F1 @(G1G1 G3G3F1F1G1G1 xG2G2 m  m e e ]P x ]PP%P @(0% x% x%%%% %VOY_INST/transfer/w_idl=n @FX 0GC3  hGC2  HH1C2 `GC4  ` F1F1  `HG1G1 hhH1C2 8HG2G2 (HH1C2HG2G2HH1C2 G3G3 pH1C4 hHH1C2G4G4`GC3 `F4F4 @`G4G4F4F4G2G2F2F2G2G2G1G1 `G4G4 `(G1G1G1G1F170 @MM`M  x x @    u0 8 8 u0 u0 u0 p  u0H8 8 up  Xm XXX-X- u u hh u ` - u  h  ` %  % ` % @` - % - ` - `H -   m m % % 8H  %  `(  upXmXmX X up upH up (H up H up hH @ upVOY_INST/transfer/iwf_fifo/n845@5 @ FX `F4F4 e X ` @ e XVOY_INST/transfer/iwf_fifo/n829@ XGY G4G4   ) X) VOY_INST/transfer/iwf_fifo/n847@2 @FX @G1G1 @- @-VOY_INST/transfer/iwf_fifo/status_reg144<0>@rFX F1F1  G4G4D1 ppp88VOY_INST/transfer/iwf_fifo/n802@ FXF1F1 F1XXVOY_INST/transfer/iwf_fifo/n804@0GY G2G20VOY_INST/transfer/iwf_fifo/n805@ 0HY p@H1C4 e p@m em0VOY_INST/transfer/iwf_fifo/aw<1>@PQYQ  xF2F2 F3F3  F4F4G4G4G3G3 G1G1 @ @m@ @@m@i` h i` i` h xh hhVOY_INST/transfer/iwf_fifo/aw517<0>@ZFX  F3F3  G3G3D1     VOY_INST/transfer/iwf_fifo/n813@  `FX  G4G4   ` VOY_INST/transfer/iwf_fifo/aw<2>@XQYQ  G2G2  H1C2 `F1F1F4F4 G4G4       m `mVOY_INST/transfer/iwf_fifo/n814@  `HXG1G1PmPm 8 ` 8VOY_INST/transfer/iwf_fifo/n806@E FX  GC4 xHF2F2  F2F2  G1G1 HF2F2  F3F3 HG1G1 xG2G2 F1 e x 0 e 0 8 I  I I  xH  % %  m   m   I H I M  M % H pm % pm     8 %8  %8 8  8    VOY_INST/transfer/iwf_fifo/ar<1>@F QXQ H1C3 @F4F4 0G3G3  PF3F3 8G2G2 G1G1  @ m   m  0 `  ` H I`  I`  H I`  H  P  %p %p 8  %pVOY_INST/transfer/iwf_fifo/ar582<0>@* FX  F4F4  @G4G4D1 % @ %   %VOY_INST/transfer/iwf_fifo/n819@ 8GY  8G2G2  8   8 VOY_INST/transfer/iwf_fifo/ar<2>@L HQYQ  PG3G3  (H1C2 ``F1F1 `G1G1 F4F4 m `` m ` H m  P  %( %(  %( ( H %(VOY_INST/transfer/iwf_fifo/n820@  HX @G4G4 % @  %VOY_INST/transfer/iwf_fifo/n795@GY G2G2VOY_INST/transfer/iwf_fifo/n831@wPFX `F4F4 MH ` MH``PVOY_INST/transfer/iwf_fifo/n797@  pFX  `G4G4 F1  `  M p MVOY_INST/transfer/iwf_fifo/n356@  XGY CEC3     %   % X VOY_INST/transfer/iwf_aff=I(QYQ F4F4  G2G2 0 e 0eXeeX       ] p ] p p( pVOY_INST/transfer/iwf_fifo/n810@pGYHF2F2HxmExmpEVOY_INST/transfer/iwf_fifo/aw<3>@lHQXQ`F1F1 P0G3G3  P0   m m`HmVOY_INST/transfer/iwf_fifo/n799@GY `F4F4`HHVOY_INST/transfer/iwf_fifo/n816@ HY PhF3F3 m Ph m %0  %0  VOY_INST/transfer/iwf_fifo/ar<3>@j HQXQ `F1F1 @@G4G4 xm ` H xm % @@ H %VOY_INST/transfer/iwf_fifo/n807@] FX  hF2F2 PG3G3 F1  h  - - P  -VOY_INST/transfer/iwf_fifo/n436@  HYCEC4 p p p  pVOY_INST/transfer/iwf_aef=l QYQ F4F4  H1C1 XH XHPP 0 0 0 0 e Hh e Hh      VOY_INST/transfer/iwf_tff=H QXQ  F2F2 @@F1F1 F4F4 @G4G4  F4F4 % @ %       e ep      ep eppp @@pppVOY_INST/transfer/iwf_load=" xHY `GC1 `GC1  F3F3 F3F3 (F3F3  hF3F3     %  - % m h m 0 0 ` - ( -   `  ` (M  x (M h ` h ` ( h ( h @ h x @VOY_INST/transfer/iwf_move=J  GY  F4F4 F4F4 `F4F4  `F1F1  `  0 P 0 h P  h `  `   m @  m ` m   @  @VOY_INST/transfer/w_reverse=m HY hGC4 (F1F1 PG1G1  F1F1  G1G1 F2F2 F2F2 `hF4F4 hG1G1 @G4G4  HF2F2 xG2G20    ( ( (m (m ( ( (   Xm  Xm X  X (   ( e x e55MM M h H M h H e h  H    )P)(  )  `8M`8M e@  e@ e `h eM eM ( e  @  ]  ] M h  M   (  (   (VOY_INST/transfer/iwf_fifo/n583<0>@+  HY 8CEC1 0CEC4CE5CE5 x- 8 x- x x    P 0  PVOY_INST/transfer/iwf_fifo/n518<0>@S XHYCEC2CEC4CE5CE5   % h % h- h X h-VOY_INST/transfer/iwf_tef=k QYQ F1F1 G1G1 F1F1  F1F1 (F1F1 F4F4 %p   %p    e (  (  (5 ( (5 ( ( (5 ( e e  e  HH e HH         VOY_INST/transfer/iwf_fifo/ar<0>@) H`QYQ GC1 PF3F3 F4F4 P(F3F3 PPG3G3 @G4G4  P m   @ m   m E   E H`  - PP - P( H` -     -  H` -VOY_INST/transfer/iwf_fifo/n827@0 HX ``F4F4 e `` ep-p-VOY_INST/transfer/iwf_fifo/n842@A 0XHY0 F3F3!0 ! 0X VOY_INST/transfer/iwf_fifo/aw<0>@QQXQ  (F3F3 @F4F4@F4F4`G4G4F1F1G1G1 % @ m %  m @`- (-VOY_INST/transfer/iwf_fifo/n815@Y PFXG4G4(m( PmVOY_INST/transfer/iwf_fifo/n821@M `PFX PG3G3 m P `P mVOY_INST/transfer/iwf_fifo/n826@0GYF4F4 0 VOY_INST/transfer/iwf_fifo/n824@@ FX G4G4 X   XVOY_INST/transfer/iwf_fifo/n828@{ pFX `G4G4`pVOY_INST/transfer/iwf_fifo/ram_a<3>?! P8GY xF2F2 G4G48"(F2F2X"(G2G2F2F2G2G2"@F1F1"hG1G1F2F2G2G2F2F2G2G2#F1F1#G1G1 F3F3 G2G2F1F1G1G1(F1F1PG1G1%F1F1%(G1G1F1F1G1G1F2F2G2G2hF1F1G1G1 F1F1 8G2G2F4F4G4G4b X"M"hX"M"@X"My#y#####E#E### # # "M H" "M P"` H" #@ P"` #@ qqqqq%X$%($%$#$#$"")!)!) ) )`)`%X  y y 55PPMPPMP(    X%X%%a(a(a(((((@@E!X"(E!8"(E!   X XP P `  `    P8 %X%X%X   %p  H %p ( H % (  X  X  X %%%  P8  h x   P   P % 8 %  %P   %P P8  VOY_INST/transfer/iwf_hint=# FX xF2F2 F2F2 8G2G2 HG2G2 F1  H  % %   x  x ( x ( 8 (  ( M(  M(VOY_INST/transfer/iwf_fifo/ram_a<2>?! GY `F1F1 `G1G1p"HF3F3p"pG3G3F3F3G3G3"HF3F3"pG3G3(F3F3PG3G3F1F1G1G1#F3F3#G3G3 `F1F1 HG4G4F2F2G2G2F2F2G2G2%F3F3%0G3G3F3F3G3G3F3F30G3G3PF2F2PG2G2 PF3F3 G1G1F3F3G3G3YPX%0X%``==}8}8  h  ` h  ` X####$P$Py$Py$PyPPy8y8888855@@HH=PX"pX"Hp"pXPX(p"HEXXX0XXXXyyEE(mmP  PmPm  HH   HH  888==}P=P=P=P}P }P  H h  ( h @ (  @  ( ( ((m( (m  `   %   %  `  `       VOY_INST/transfer/iwf_fifo/ram_a<1>?! `FX F3F3 G3G3@"F4F4`"G4G4F1F1G1G1"(F2F2"(G2G2 F1F1HG1G1F4F4G4G4#F4F4#G4G4 HF4F4 8G3G3F3F3G3G3hF4F4hG4G4$F2F2$G2G2F2F2G2G2F1F1(G1G1pF3F3G3G3 F2F2 PG3G3F1F1G1G1bq$#q$#q$$q$$q$$$%#8%#8!h! !yyPP u H u P H  P     H@  P H@  P `  !"(!"(!y!y!!!!! h!   h! X mHm mmXE"`"E"@"E"""XXXX XXXX=umXmXmXhhmh h xx x ` xm(mmmmmm==}}  ` upu 0 050005  0     M   M   `   P  U h 0 U %  U % h 0 U h h 0 h  H  8    X  ` XVOY_INST/transfer/iwf_fifo/ram_a<0>?! FX F4F4 G2G2 "@F1F1 "hG1G1 F4F4 G4G4"F4F4"G4G4`F4F4`G4G4F3F3G3G3#F2F2#G2G2 xF2F2 `0G1G1F4F4G4G40F3F3XG3G3%@F4F4%@G4G4F4F4G4G4@F4F4@G4G4F4F4G4G4 F4F4 @G4G4F2F2G2G25X505#####"#"#y#y#####E#E### # h# # `# h#  `#yyHpH up 5 u  5 M   M   p ( p ((a%%@a%%@a%%%%% $ %@ $ `% %@  `%q@q@q(@(@` `m` `m @ "h@ "@H@H H H %H    % %   X X X  X    yMyM(((h((h((-h(-hmh @mh @ (  (000y0y0mmHH  m  m    a`a`a  `  `8 `  `8 %X   %X P -H-H-HmHmH ` H `  H X  X   xx x EEP @P ` @  `  %x P x P %P %P @ %P  %P mmim` i` m hm ` h  `  %x  %x `0  %H  ` %H  ` VOY_INST/transfer/iwf_fifo/n145<0>@\ XGYCEC3 @CEC1 @CEC1 @xCEC1 `CEC4CE5  @` e @ @x e X eVOY_INST/transfer/iwf_din_dly<23>=0 HQYQ D0C2    U U 5 5  H VOY_INST/transfer/iwf_dout<23>=S `FX 8II@8@yhyh u ` uVOY_INST/transfer/iwf_din_dly<4>=C(#QXQ8D1C1 8   - i!- -"i!(#-"VOY_INST/transfer/iwf_dout<4>=fXGY 8XIIP8XP-X-VOY_INST/transfer/iwf_din_dly<31>=( "QYQ "XD0C1"M "X"M!! ! ! %! "M %! " "MVOY_INST/transfer/iwf_dout<31>=K "0FX 8 II8 8 8 y 8 y 8 !!!!E! "0E!VOY_INST/transfer/iwf_din_dly<16>=7uQYQD1C4ppyp5yp5 5 5ppVOY_INST/transfer/iwf_dout<16>=ZGY 8 II8 VOY_INST/transfer/iwf_din_dly<24>=/(&QYQ"D1C4#"X##X% X#X% y%&my%(&&mVOY_INST/transfer/iwf_dout<24>=R"xGY 8"II"x8"VOY_INST/transfer/iwf_din_dly<18>=5x QYQ 0D1C4 %h 0 %h x x x U x U u  u VOY_INST/transfer/iwf_dout<18>=X PGY 8II8qq  P VOY_INST/transfer/iwf_din_dly<11>=<mh QYQ D0C4  yy`M0`M(0 (M MEh EVOY_INST/transfer/iwf_dout<11>=_FX 88II88VOY_INST/transfer/iwf_din_dly<3>=D ! QYQD1C1 EEP-P-   %  %  ! VOY_INST/transfer/iwf_dout<3>=gGY 8IIP-8P-yyhhVOY_INST/transfer/iwf_din_dly<30>=) "(QXQH"(D1C2 !H"( "M ! "M ! "( !VOY_INST/transfer/iwf_dout<30>=Lp"xGY x8!8II@ 8!8@ y!"My!"M####E#p"xE#VOY_INST/transfer/iwf_din_dly<25>=.&HQXQ"XD0C1P"M"XP#P"MP#y$p% y$p% %&H%VOY_INST/transfer/iwf_dout<25>=Q"0FX 8"II"08"VOY_INST/transfer/iwf_din_dly<10>==l(QXQD1C3 `$X`$Xy$X% y$X% u$% u$% E%E%EEE(EVOY_INST/transfer/iwf_dout<10>=`GY 8II8VOY_INST/transfer/iwf_din_dly<22>=1~ QXQ D1C3e@ e@e e       VOY_INST/transfer/iwf_dout<22>=T GY 8IIP8Pyy (H ( HVOY_INST/transfer/iwf_din_dly<19>=4yh 0QXQ ` D1C1  `    U U H U H U h 0 VOY_INST/transfer/iwf_dout<19>=W @GY 8@IIP8@Paa  @ VOY_INST/transfer/iwf_din_dly<2>=E QXQD1C4aHHaHp`H 8p` h 8 h 0 0VOY_INST/transfer/iwf_dout<2>=hGY 8II8VOY_INST/transfer/iwf_din_dly<5>=Bh#QYQ`D0C4 `00 00 y!y!!!!"(!""(""#"h##VOY_INST/transfer/iwf_dout<5>=eFX y8xII8xVOY_INST/transfer/iwf_din_dly<17>=6vQXQD0C1 XXy@y@@@pupupHp H   uu88VOY_INST/transfer/iwf_dout<17>=YFX 8II8VOY_INST/transfer/iwf_din_dly<29>=*%@QYQ#D0C4$x#$xy$xy$x$xp% $x%@p% VOY_INST/transfer/iwf_dout<29>=M#FX w8#II#8#VOY_INST/transfer/iwf_din_dly<20>=3{ H QYQ D0C2      U H UVOY_INST/transfer/iwf_dout<20>=V `FX ~8 II8 8 8 y  Uy  U u ` uVOY_INST/transfer/iwf_din_dly<7>=@(QXQD0C4ppEp(EpVOY_INST/transfer/iwf_dout<7>=cFX 8II(m8(myy p pVOY_INST/transfer/iwf_din_dly<15>=8sQXQ@D0C105@05yyEE(5(5xxuu@0@e0eeeVOY_INST/transfer/iwf_dout<15>=[FX 8II8VOY_INST/transfer/iwf_din_dly<12>=;o(QYQD1C1 P 8P @(8p@('p''0'''X0'q('X(q(VOY_INST/transfer/iwf_dout<12>=^8GY 8XII88XVOY_INST/transfer/iwf_din_dly<27>=,(QYQ%@D0C4%%@'`%('`VOY_INST/transfer/iwf_dout<27>=O$FX 8$II$8$VOY_INST/transfer/iwf_din_dly<9>=>QYQD0C4 @ @hhVOY_INST/transfer/iwf_dout<9>=aFX 8II(8(EP PEP PVOY_INST/transfer/iwf_din_dly<0>=Gi  pQXQPD1C2 P H H %H %H X X X U X U X X X  p X VOY_INST/transfer/iwf_dout<0>=jGY 8 `II 8 8 `8 y @y @ y uy(u0(0XXXXVOY_INST/transfer/iwf_din_dly<13>=:p'QXQ@D0C4@yyy'0'0y'0''0VOY_INST/transfer/iwf_dout<13>=]FX }8II8VOY_INST/transfer/iwf_din_dly<8>=?hQXQD1C2 EEE @E @ u u--mm  hVOY_INST/transfer/iwf_dout<8>=bGY 8xIIP8xPyyhhVOY_INST/transfer/iwf_din_dly<26>=-H'QXQ% D1C3q&% q&a&H'a&VOY_INST/transfer/iwf_dout<26>=P%8GY 8%XII%88%XVOY_INST/transfer/iwf_din_dly<1>=Fj H QYQD0C1PuPuPPPPP UP U H VOY_INST/transfer/iwf_dout<1>=iXFX 8 II@ 8 @ y y  y uyu uX uVOY_INST/transfer/iwf_din_dly<21>=2|  0QXQ D0C1 @   0 @VOY_INST/transfer/iwf_dout<21>=U FX 8 @II (8 @  (     - - m m  VOY_INST/transfer/iwf_din_dly<6>=Ah`QYQD0C2   y y     E E (p(p-h`-VOY_INST/transfer/iwf_dout<6>=dFX 8II8VOY_INST/transfer/iwf_din_dly<28>=+$QXQ#D1C1 ## #y$@y$@$@$@$@% $@$% VOY_INST/transfer/iwf_dout<28>=N#GY 8#xII#8#xVOY_INST/transfer/iwf_din_dly<14>=9rQYQD1C2yypp@@E@E@VOY_INST/transfer/iwf_dout<14>=\`GY 8II`8VOY_INST/transfer/irf_fifo/n756A6FXF3F3VOY_INST/transfer/irf_fifo/n754AMpGYG1G1pVOY_INST/transfer/irf_fifo/n705AJGY7@F4F46HF4F450G1G1g0pF3F3f0G3G3F1F1G3G3&F4F4+F2F2/ G3G33@HF4F42 0G1G1^ HF1F1IG0%hh0 E@HuE8u0  H 0u  0u eu e(u(hu  H0 0 h0huhhhu  0E Eu0u0puHHuHHuHEh@hEhh8h8hVOY_INST/transfer/irf_fifo/n758A2p@GYG4G4XXp@VOY_INST/transfer/r_idl=0 @XFX G2G2 H1C2] hGC3Z F4F4Y 0G2G2X hH1C3U F4F4T G1G1S H1C1E F3F3D G3G3BF3F3A8G3G3H1C3 G3G3 H1C3! G1G1  (H1C4&pF3F3%PG2G2*8G3G3)HH1C4@F1F1(H1C25 HG4G4> @ G1G1.F1;PM@ PM ( } (}(}   E E   h  h 0 U U h U    e e   p U p U h h  h   Xh  X   Xh  h Uh ii8-ihhh Uh U hh U  @     (  -H-P- p 8    8 800u0 @Xu      H @XVOY_INST/transfer/irf_fifo/status_reg144<0>A*@GYghF1F1f G4G4-D0( (XuXuhXu@VOY_INST/transfer/irf_fifo/status<1>A 'PQXQCPF2F2BHF4F4AHG4G4gPF2F2HppF3F3NpF3F3&hF1F1%G1G1/ xPG2G2:G2G2 M xP MH H HHHPPHPuuhuppPupPVOY_INST/transfer/irf_fifo/n713AgXFX F4F4eF1uuXVOY_INST/transfer/irf_fifo/status<2>A (QYQfG1G1eH1C4MpG3G3LpH1C3GpG3G3FpH1C3KF2F2+F3F3/ @G1G138F2F2 F2F2u @u@E`8`E`P`PPuP@@puPuPupppupuupup0u0VOY_INST/transfer/irf_fifo/n740ABFXfPG2G2PppEEVOY_INST/transfer/irf_fifo/n714Be0HY%G3G38u8u0VOY_INST/transfer/irf_fifo/ram_weA/R @FXT pG2G2S0 GC3;F4F4: G4G4d F4F4c G1G1'WEC1&pWEC2#@WEC1"xWEC3' WEC1' WEC1' WEC1'WEC1%8"`WEC3'%WEC1@#WEC1$ WEC1 `WEC1 hWEC3'`WEC4@WEC1 @WEC1pWEC4" F2F2JG1G1G`G4G4QF1@--m(mH( u H$1!$ $1$$1!#%@$1$#$#%@M$#$'X''' 'X'&'' '&'&E'p'& 'pE'p ' 'p ' e' e'  e  e e h e H h H%"M%8"`%#%"M%#Q$ $ Q$ # $ # # # #  #  e eXX0(0( @#@## #P# #P#E$HE$H E   E     @'' '''''' U' U' ' ' ' ' 5' 5'''''I''% '%'% '$H'#'$H''`('( (("M( (#("M'#(#'#'#M$'#&m&p'&m)]')])M$) $M$`# $`###E#E#EE55-" "x" #@"M " "u"M "u""pH""P"pHM "P  M    %p %p p  p   '8 ' '8 '8 '8 '8 5'8 5'8&'8@mm u m u m h@  h@ (H(-H& (' & (&h&&h&p&I&p I    %x %x x x ex H  ex @ @ H  @ @ h `%   x%   p e  e e` @ e` 0    x  x   x up@ u@%%%%%%%`-`)-)0%`%` @00  @0VOY_INST/transfer/irf_fifo/aw<1>AW( QYQQ @ H1C1E F1F1? @ F1F1d pF2F2! G2G2T0 G3G3 @ @@ H p @ H p ( @ (  (    P P P  p     0 (   @   0(  0 P (  PVOY_INST/transfer/irf_fifo/aw517<0>AU xFXd F3F3c G3G3VD1     x VOY_INST/transfer/irf_fifo/n721AE FXc G4G4 `   `VOY_INST/transfer/irf_fifo/aw<2>A$( (QYQc pG2G2b pH1C2;F1F1" (F4F48 G2G2     U  U   e @ e @( (   p  p  ( ( @@@ U@ U   (( ( VOY_INST/transfer/irf_fifo/n722Ab HY!0 G3G3 0   H H H  HVOY_INST/transfer/irf_fifo/n716A P FXY G4G4X `GC19 F2F2a @ HF1F1` @ pG1G1 XF2F2^ F4F45G2G2OF1  p p e e X  e     U @ p U @ H U e  e   E ` E E 8 8  E 8  EVOY_INST/transfer/irf_fifo/ar<1>A\ QYQO (H1C3? F3F3> (G4G4a ` F4F4 xG2G2Y xG3G3 e ( U e U U ]8 x ]8 ` ]8 p ( p p p p U p U I x I VOY_INST/transfer/irf_fifo/ar582<0>AZ 8FXa PF3F3` xG3G3[D1 U x U P 8 UVOY_INST/transfer/irf_fifo/n727A> GY` x 0G2G2 e x 0 e VOY_INST/transfer/irf_fifo/ar<2>A QYQ` G4G4_ hH1C39 F4F48 G1G1 F3F3 e  e   0  0 H H h H  H   HVOY_INST/transfer/irf_fifo/n728A_ HY @G1G1 @ e e VOY_INST/transfer/irf_fifo/status<3>A,QXQ+F1F1/ G4G43pF3F32p8G3G3 F1F1 0G3G3 ]X  ]XXX%0uuxxxxXX((uu%%p8%p%VOY_INST/transfer/irf_fifo/n706AA@GY3 F1F1 @VOY_INST/transfer/irf_fifo/n737A:0GY+HF4F4H88H0HVOY_INST/transfer/irf_fifo/n708B=^ 8FXD (G4G4]F1  ( 8 VOY_INST/transfer/irf_fifo/n356BVD GYCEC1%@%@   VOY_INST/transfer/irf_aff= (QYQFX pG2G2D G2G2  X p I I M   M      h(hVOY_INST/transfer/irf_fifo/n718B/Q @HY"0 F3F3 0 ex ( ex @ (VOY_INST/transfer/irf_fifo/aw<3>A# QXQ@ 0F2F2" F1F1  0 8  8     VOY_INST/transfer/irf_fifo/status<0>A -HQYQCpF3F3BF1F1A0G1G1H8PF2F2GXPG2G2&PF2F2. hPH1C2*HG4G4:G1G1 hP= 0  EE)H)PHH`p`==XP=8PH=VOY_INST/transfer/irf_fifo/n724B%O @HY `F4F4 eX ` X eX h X @ hVOY_INST/transfer/irf_fifo/ar<3>A HQXQ@0 PF3F3 @F1F1 U0 P U @ HVOY_INST/transfer/irf_fifo/n436A] HY CEC1   n1425gQYQ8 PINO] H1C4   @8 P}@=}=VOY_INST/transfer/irf_fifo/TFB14hHQYQR `HF4F4 e `H eEhHEVOY_INST/transfer/irf_load=q `FXP F3F3R XF2F2 F1 M0 X I M0 I   I ` VOY_INST/transfer/irf_unload= `XFXP HF4F4R F3F3 F1      H %  u % `X uVOY_INST/transfer/irf_fifo/n583<0>AX HY hCEC2[ CEC4CE5\CE5 e h  e  VOY_INST/transfer/irf_tef=1 QYQP F1F1 `hF1F1 Q G4G4 I 8G2G2 U F4F4    `h        8    VOY_INST/transfer/irf_fifo/n518<0>AS0 HY# CEC2V CEC4$CE5WCE5 (  ( 0  (VOY_INST/transfer/irf_fifo/aw<0>AV pQXQQ hGC2E F2F2= P0F3F3;0F3F3U pF2F2T G4G4 5 P0 5 % % % % ( (   p  8  8H H  eh h ehhhXXX UX UX X X  pX  ( 8  ( p8   pp 0 p  p VOY_INST/transfer/irf_fifo/ar<0>A[ 0QXQO GC2= (F1F19 F1F1Z HF1F1Y pG1G1> G3G3 0 ( 0 0 E  E@ E    E@ E@ p E@ H E@  0 E@VOY_INST/transfer/irf_fifo/n749AL HX78F2F2E08E0uu VOY_INST/transfer/irf_fifo/n751AIHX7pF3F3pVOY_INST/transfer/irf_fifo/n753AF XHX2`HG4G4E`H XEVOY_INST/transfer/irf_fifo/n715AGpGY%G4G4=Xp=XVOY_INST/transfer/irf_fifo/n723A;FX! (G4G4  (` U `` U`VOY_INST/transfer/irf_fifo/n729A9 FX G4G4 eP   eP  VOY_INST/transfer/irf_fifo/n733A 0GY 0F3F300VOY_INST/transfer/irf_fifo/n731A7 FX G1G1pp@@E@ E@VOY_INST/transfer/irf_fifo/n734ACXFXM`G4G4`eXeVOY_INST/transfer/irf_fifo/ram_a<3>A+%@ 8FX'F2F2'G2G2&HF1F1&HG1G1#0F3F3#XG3G3"@F2F2"`G2G2'HF4F4'HG4G4' pF2F2' pG2G2' (F4F4' (G4G4'F2F2'G2G2%"F4F4%("G4G4'%@F4F4'%@G4G4`#F4F4#G4G4%! F4F4%(! G4G4 HF1F1 pG1G1 `F4F4 G4G4'F2F2'G2G2 XhF2F2 xhG2G2XF2F2xG2G2XF2F2xG2G2  `  h  ( h ( p e ` p  e `  '''')]')]( )]( )]((x''H''` '0'` ''0'P'x'P' ' p' ' p' ' 5' 5' ' ' u' u' ' x(x(yPyPPPPPEPEPPP P  P `  ` % % e e  I ' (Q'H PQ  P P   P! '%'%@'%'%@'%&m%&%8&m%&$&%8E$&$% "%("% "%"$#% "%#$#E$%# $E$@% $@% $H#$H###%&H%&H% %%m% %%m%-%%%-%8%% h%8E0% hI ' ( UI  U  0  ` 0` U `` U  M M   y y     E E   ( p (% !%! % !%(! % % !% %&Hp% P p P   ( M p ('U''U''U$$!!QQU H e e h 0 e h 0 e   8 '''''8-''8-&m&Hp&m&`h&HpE0&`h 0E0@ 0@ ( ($`#yxyXyE E  P P PP        U P U 8 0 P p 8 0$#$=$=$}$ #}$ `# #  `#    ( ( ( p ($P5#0$P5#X$P5#x#x"Mx"Mx x x 0 0 u@Xh u@xh u@E 8E 8 E E0x0X0h( h(HM HMHHH5H5xxH H P UP U  8 !"`!"@!UPUxPPxP5P5PaPaPPPhh    0 p 0 p M p M p p 8 pVOY_INST/transfer/irf_hint=r R XFX@ HF1F1? ` (F4F4= F2F2< PG1G1hG1G18 G4G4 PF1 ` (@ @ H@ E X E h   I h I H h 5 H `   `   X  5 P 5  %  %   u u  X VOY_INST/transfer/irf_fifo/ram_a<2>A,%8 GY'F3F3'G3G3&hF4F4&G4G4#hF4F4#hG4G4"(F1F1"(G1G1'F3F3'8G3G3' F3F3' G3G3' F3F3' G3G3'F3F3'G3G3%8"HF3F3%8"pG3G3'%F3F3'%0G3G3#F3F3#G3G3$ F1F1$!G1G1 PF3F3 xG3G3 @HF1F1 @pG1G1'(F3F3'PG3G3 F3F3 G3G3F3F3G3G3F3F3G3G3u#@##@##@$($(-$(-$(m$(m$( $( $ $( % $ P %  P x  x x5  x5 @  p5 @ p5 p  p##h##h% #&m% '&m&&&&h(&'(''(@'(@ ' (@ ' (@ ' (@ ' (x'(x'(x''(@ yy-- - -E(hE(ph%p%%8%8% %  ]  ]      u u   H  H @p @H e  e  h  h x P %x %x   %"M%8"p%"M%8"H%"M% % &m @' &m @'h' $@ $!$@ $ $@ % &m % '&m 'h'''h(@'!"(!"(!!u!u!!"Mh#"Mh####I`#('('8((('('('' '(@'%(@'%0(@'((@'P(@ (@'(@ (x U'' (x U' ' I`' `I` h `  hVOY_INST/transfer/irf_fifo/ram_a<1>A-%? @ FX' F4F4' G4G4&F3F3&G3G3#(F1F1#PG1G1"xF3F3"xG3G3'F2F2'G2G2' F4F4' G4G4' F2F2' G2G2'F4F4'G4G4%"(F2F2% "(G2G2'$F2F2'$G2G2X#F2F2x#G2G2%8 F3F3%8!G3G3 0F2F2 0G2G2 X0F2F2 x0G2G2'`F4F4'`G4G4 `F4F4 G4G4`F4F4G4G4`F4F4G4G4q pq p`!q p@"0!@"@@"0"M@"@"M "'$x'$'$x'$(% '$x(&m(% '&(&m$!h% "($!h%"(%#@$!h%&%#@%0&%&%&H%0&M%x%&H'U'`'U'`(Q 'U(Q#@(Q (Q&(Q#@'&(Q&'&H'&M%x'&H#5#P#5#(#5#%!%8!%!%8 %$%!%$$%%0%@$%%%@%0%@M%x%%@H% M%xH% y%&my%&m &&m &&m'('( "x# "X#"M ""M## #"x#"x##8% 8#8%u% 8%8%u% P%8&% P&$$$ $ $ % ($ % % (% # # " " " # p" # # pM # '&'&'''x''('x''(  `   u X @ u X` x0` X0)H`))H)) h) H h) ( H U` 0 U` 0H U`H( h @( h) ( @ ) ( @  '' '' ('()])]) u` uX uXXMXMXX`X` X  U U ' P' ' P' ( ' P( M 'p''p''p''p')]p'p)]p) M ) M ' M ' M    @  VOY_INST/transfer/irf_fifo/ram_a<0>A.%= FX'F1F1'G1G1&`F2F2&G2G2#F2F2#G2G2"HF4F4"hG4G4'F1F1'0G1G1' F1F1' G1G1' F1F1' G1G1'F1F1'G1G1$"@F1F1$"hG1G1'%F1F1'%(G1G1@#F1F1@#G1G1% F2F2% G2G2 F4F4 G4G4 PF3F3 xG3G3' F1F1'HG1G1 @F1F1 @G1G1@F1F1@G1G1@F1F1@G1G1Y#@@##@@##@!h!h!h!h !h I#@ !h # I#@ # # $H # $H  @ @ &'H&' $#8$"h$#8$"@$%$#8$($%$'$(%($'(0%(&$'%(&$'%&m&&m&`&m&% % % % &!% &$&!&'`&$&(&'`&x(&((0&x( u(0(0 `' u(0 `' (h (h   ####"M"h"M"H#"M% #%% &H%&h&H&mp&h&mp& &'&'& &@@@(@ M P M x  M& ' & '      & ' & ' @@(( u `M u `M       & '& '& '0& 'M& M  `5   `5VOY_INST/transfer/irf_fifo/n145<0>A50@GY'CEC41 @CEC14p(CEC3,(CEC3(CE5-CE5 p(X(X0@ @0@VOY_INST/transfer/rtry_cntr/add_487/n12B u FX q F3F3 p hG2G2 tF1  he x e x  e xVOY_INST/transfer/retries<2>= ^ ! QYQ o x"(F2F2 n "(G2G2 u F2F2 jhF2F2 h    (   ( ! ! ! ! "( ! x"( ! !VOY_INST/transfer/retries<1>= [ H! QYQ o `"@F1F1 n `"hG1G1 u! F4F4 jF3F3 l 8"(G2G2  x x}!}!! }! ! H! ! "M `"@ "M `"h "M %! %! 8"( H! %!VOY_INST/transfer/retries<0>= Z  QXQ m "@F1F1 l "hG1G1 o "F4F4 n "G4G4 u F1F1 jF1F1 ee  p !  pe! e! #0 #0 " #0 " #0 ! ! "h ! "@  !VOY_INST/transfer/rtry_cntr/add_487/n13B t!HY s@! F4F4 rp!G3G3 p! E!E!@! E! ! VOY_INST/transfer/retries<4>= b HQYQ t H1C2 q `F1F1 p `G1G1 iG1G1 00 8  `  ` H  8  8 8 8  H VOY_INST/transfer/retries<3>= _ h QXQ t GC1 q F4F4 p G4G4 jF4F4 n "pG3G3 0  0 (  ( 0 h 0m  h m "M "p"M ! ! h VOY_INST/transfer/retries992<7>= rp!GY d`G4G4 `! p!!VOY_INST/transfer/retries<7>= g(hQXQ iG3G3 r`! G4G4E!`! E!x x xx(hxVOY_INST/transfer/retries<6>= fhQYQ s8 F2F2 rX G2G2 ihG2G2hE HE HX E H8 hE HVOY_INST/transfer/retries<5>= c hQXQ s F1F1 r !G1G1 iG4G4 p G3G3  @  !    @ @ @ @ % @ % @ x h xH H   % h %VOY_INST/transfer/retries992<6>= s FX e@F4F4E X@ E XVOY_INST/transfer/retries992<5>= p GY ` PG3G3  P} p  } pVOY_INST/transfer/retries992<4>= q `pFX a PF3F3  P `p VOY_INST/transfer/retries992<3>= n "xGY \ ! G4G4 ! ! H"M ! "xH"MVOY_INST/transfer/retries992<2>= o `"0FX ] ! F4F4 ! ! `"0 !VOY_INST/transfer/retries992<1>= l P"xGY X @! G4G4 %! @! "M %! P"x "MVOY_INST/transfer/retries992<0>= m "0FX Y ! F4F4 %! ! "0 %!VOY_INST/transfer/internal_error=((QXQH(H1C2EH(((EVOY_INST/transfer/precomp1>rQXQ HF3F3 XMHXM55XXn467Hg @)8OUTI1%@DC4 &%@&m &'&m)M' @)8)Mn466Gi8&POUTI2% H1C3h% % h&mh% h&m &&m &8&P&mn469Jc) OUTI2@'DC1'@'' (8@' (8@)]@') @)]n468Ie)8OUTI1p(H1C4 (p(H)Q ()8H)Qn471L_) OUTI2&xDC1%&x(%) (n470Ka )8OUTI1&H1C4&&&& (& )8 (n473N[8OUTI2~ (DC2 u (8 un472M] HOUTI1} H1C1 Ih  Ih M M Hn475PW8 OUTI2{ ( 0DC2 ( 0 U  U 8  n474OY8 OUTI2z 0 H1C4 0  U  U8 n477RQ8 OUTI2x` `DC1 ` `  (8  (n476QS8 hOUTI2w 0H1C2m p 0m p  8 hn479TM8XOUTI2uDC4mPmPh8Xhn478SO OUTI1tH1C2mm n481VI8OUTI2rDC4 5 5uu8n480UK OUTI1qH1C2 xuxux(xPH( u(PHqh u( qh  n483XE `) OUTI2o(DC4a(H(a(H(H(H(H(H (H `) (Hn482WG)8OUTI1n'H1C3q(')8q(n485ZA OUTI1lHDC2He en484YC8OUTI2k H1C1`  ` iiiim0m0H8Hn487\{8OUTI2DC2080n486[}8OUTI2`H1C1`8n489^w ) OUTI2HDC2HH  H   I!  I$ I! I'` I$ I'` M(H ) M(Hn488]y OUTI1 8H1C1- 8- u- u- 8 8n491`sp) OUTI2 #DC1i$ #i$m% '`m% '` (H (H(H(H-(Hp) -(Hn490_u ) OUTI2P#H1C4$P#e%$e% 'P ( 'P ) (n493bk $OUTI1 ! DC4 M!h ! #@ M!h#@$($($($(e$( $e$(n492aq ) OUTI2 H1C1 E x E x!#8!%#8%='P(='P ) (n495d?8 xOUTI2i ( pDC2 @ ( p @8 x n494cU (OUTI2h H1C1 8 ( 8 n496e  OUTI1 h(DC2h h(h-h-hmhmhx  xVOY_INST/transfer/iwf_wr_reg> QYQ pH1C4 e p  en5359 h(OUTI2 PDC2  P    h( VOY_INST/transfer/irf_rd_reg> QYQ pF3F30u p 0uVOY_INST/transfer/m_data_q> APQXQF4F4G3G3DC38uP8uXuXuPXuVOY_INST/transfer/m_data_qq>PQXQPG2G2PPn1427i H0QXQ& (INOP (P@ H0@n1426h QYQ( (INO  ( 0M   0Mn1429k 0QXQ" (INO ( 8 E@ 8 0 E@n1428j QYQ$ (INO % ( M %  Mn1431m%0! QYQ&`) INO&m(&`) &m(%'%'%&m%&m%% %% %#%#%"M%"M% %0! % n1430l$ QXQ % ) INO&(% ) &($'X$'X$$$$$!$ $!n1433o#QYQ8) INOE(8) 'E(''(`&m'(`&m%% %% y$h#y$hn1432nH#QXQX) INOy(`X) y(`''&m&m% % #H##n1435q'%@QYQ)'INO(Q'`)'(Q'`(Q$'%@(Q$n1434p'$QXQ&) INO&)]&) 'M(&)]'M('M%'$'M%n1437s%0"QYQ%) INO$)%) $$)% #$%0"% #n1436r$"(QXQ') INO()]') (H)U()])((H)U(&)((&&&&&&#@&#@%!h$"(%!hn1439u'QYQ )INO()( 5(( ( 5( ( ( U( (( U'(n1438t'QXQ)INO())e()e*!h(*!h'M ('M 'M 'M'M ''Mn1441w' QXQ&(INO&&(&&(&()))) })( ) }' ( n1440v' (QYQ ) (INO(Q) ((Q (Q' ((Q n1443y'HQYQ)INO(`)( (`( ( (( ('e'H'en1442x'QXQ)HINO )])H)Qp)](QH)Qp(Q(QH(Q'U&P'U&&P'M&'M 'M'M@'M 'M'M@''Mn1445{"0QXQ"h(INO "M"h(#"M#$ #$ #X##P#X##P#"M!u"M"M!u"0"Mn1444z"pQYQ&h(INO']`&h(%H']`%%H%%% %%H% %%H%8@%%(H%8@% %(H% ##u###u"p#n1447}#hQYQ%(INO&%((&(*!H*!*!H*!*!*0 *!* *0 * H* *0a* H*!*0a(X*!(X&X$&X$#E#h#En1446|#QXQ%((INO %`%((&H%`&&H&&& h&&H @& h% &H @% % %% %$#-`$#- #-`##- n1449&PQXQ) xINO (p U) x(p U' ' U' '' U'''u''u&m%&m%5%%%5%M%&m%M&P&mn1448~&QYQ)INO()(''8'&'8n1451'QXQ'(INO''('&m&m& &m& '&m''n1450' QYQ) INO (x ) (x )Q )Q ) })Q ) }(Q )Q (Q(Q (Qh(Q(Q((Qh' (Q(n1453QYQ48INO8 m m m m  yHyHn1452HQXQ68INO `8 `8 8h@h @m m  yHyn1455QYQ08INO X8}X=}= } =}=n1454HQXQ28INO8m-m- m  hm  h ` ` `E```E````Hn1457 QYQ,8HINO -8H- - -E ( (E ( ( (y ( (y (n1456 HhQXQ.) INO () X'(X'X&mX&mX% X% X#X#X"MX"MX X  @ @Hhn1459'`QYQ)INO(Q)'`(Qn1458'QXQ*)&INO()&)]()]''' -'' -n1461' QYQ)8INO(Q)8(Qh(Q(Q ((Qh' (Q (n1460' pQXQ)xINO(`M)x(`(`M)AH(`)AH)]))]( )' p( n465Fm8"OUTI2 "DC4 ]#0 " ]#0#0#0#0#0#08"#0n464Eo ) OUTI2 "XH1C1 E#8 "X E% E#8 E( E% ) E(VOY_INST/transfer/read_state<0>> K QXQ XF2F2 0F3F3 G2G2 xF2F2  (F1F10 `F4F4 J F1F1 I G1G1 N xF2F2 R F4F4x50x5 (x5hhHH 8      x  e8 ` e8 X 8 e8 8  %8 8 x x x   %  % 8 x 8 %8  %8 x  x   xVOY_INST/transfer/w_oops_counter<1>> HQXQ @F1F1 @G1G1 @(F1F1 0F3F3 0XG3G3 @ 0 @( @ 0X H VOY_INST/transfer/precomp2>x H QYQ @F1F1M@MEE VOY_INST/transfer/n3268?C L `HX V 0DC4 OD* X 0  X ` VOY_INST/transfer/read_state_shadow_Q1428<1>>* V PQXQ xPF2F2 U PF2F2  xP  % % P P %VOY_INST/transfer/read_state<1>> O hQXQ F3F3 (F1F1 PG1G1 F3F3 8F2F20 XPF2F2 J PF3F3 I PG3G3 N `(F1F1 R pF3F3 Q G3G3 e XP  e %  u %  u 5      %  5 %   h E8EP5 5 `( h 5    p     h P5PP5( hP5 h h  P  P 5  h 5VOY_INST/transfer/n3276?L P HY T H1C1 SD* hu   huVOY_INST/transfer/read_state_shadow<2>>( W HQYQ F4F4 U PpF3F3    u u Pp H uVOY_INST/transfer/read_state<2>> S PQXQ hF4F4 `F1F1 @hF4F4@F4F4 hG1G10 pF3F3 J F4F4 I @G4G4 N 0F3F3 R hF1F1 Q G1G1(E@EM hM   % %      0  0 0M 0M 0  0   (  ( h h  P H5 0H5  h @h 5  5   u u  P  u p u h u  P u  `  %0 %0 0  0 P   @    E` P E`VOY_INST/transfer/n3282?R 0HX (DC2 D*( e  e 0 VOY_INST/transfer/write_state_shadow<0>>. (QXQ @XGC1 @F1F1M @XM(8@(8VOY_INST/transfer/write_state<0>>  (QXQ F3F3 `F1F1 F3F3 F2F2 G1G1 F3F3 GF2F2 F G4G48F2F2 F1F1 0M0M   M M  H  0 H % 0 E8E   % E  E  E E8 E8  ( E8  `  % %  %  p % p  pM p  pM (      ( VOY_INST/transfer/n3290?[ PxHY G4G4 D* e   e %  Px %VOY_INST/transfer/write_state_shadow_Q1923<1>?8 H(QXQ X(F2F2 e X( H( eVOY_INST/transfer/write_state<1>= (QXQ H1C2 H1C3 ` F4F4 F3F3 G3G3  F4F4 @ G4G48(F2F2 XF2F2 G F4F4 FG1G1P H1C4 F3F3 }0}0 0PP  eh X h eh  h  % H % E  E 0 H 0    8 ( 8h8(h hP P  % @ EP E  M  H M ( H %  ( % Mh ` h Mh ( hVOY_INST/transfer/n3293?a HX H1C4 D*   VOY_INST/transfer/write_state_shadow<2>?9 (QYQ `F4F4 e ` e(VOY_INST/transfer/write_state<2>> HQYQ xF2F2 `G1G1 `F4F4 F1F1 PG3G3 @F1F1 GF3F3 FG3G3 F1F1 F2F2 F1F1  x h  ` h  `  `  P  % %  @ e` ` e` x `  x  H EhEhhh h h %h `    H      %h  H %hVOY_INST/transfer/retry_limit> kQYQ C@F4F4 @ (u  (u e HP e p HP e0 p e0   e H H e H % H H H % H H H HVOY_INST/transfer/w_oops_counter<0>> QXQ phGC4 hF4F4  hG4G4 XF2F2 xG2G2 G2G2 e X e ph e x eh hVOY_INST/transfer/n3067> FX xG2G2 F1 e x5 e5VOY_INST/transfer/n3065> GY F2F2   VOY_INST/transfer/n3096>a 0`GY G1G1880`VOY_INST/transfer/n3095?# `HY @@H1C1   G4G4 5 @@ e5  e `   e  e   ` VOY_INST/transfer/n3062?% @FX 0G3G3 F105 @5VOY_INST/transfer/n3073> @FX GC2 F2F2 %  p % p X X  X eX eXMM e @ eVOY_INST/transfer/n3099> `FX PG3G3  P  M M  ` VOY_INST/transfer/n3076>3 FGY 8G2G2 G2G2 % 8 % xM xM %  %    VOY_INST/transfer/n3075>5 PGY (G2G2 (F2F2 G1G1  ( %  X  X XM XM % % ( P %VOY_INST/transfer/n3079?X 0FX pG3G3 F1  p 0 VOY_INST/transfer/n3078>; GY PHF3F3 M PH M   VOY_INST/transfer/cond_code>B hhQYQ M G2G2 @F1F1< @hG4G4 @ @  @   `  ` % % @h 5 % 5 p p p p5pE5hhEVOY_INST/transfer/n3100> 8HY @F1F1 hG1G1 M @  M     8  I@ h I I@ 8 IVOY_INST/transfer/n3057>h GFX PhGC4 HF3F3 8(G2G2 EF1 EPhE M H M % % 8(  %   VOY_INST/transfer/n3081>E  FX F2F2F4F4 G4G4    VOY_INST/transfer/n3040?< FXHF3F3F1MHM VOY_INST/transfer/n3280?T0FX (H1C2 u (0 uVOY_INST/transfer/n3102>s @FX M `PG1G1 Q PG2G2 5 `P 5   % %   e @ e ] P` ] @`VOY_INST/transfer/n3088>f FX M hG4G4 F1F1       5  h 5  5VOY_INST/transfer/n3041?   FX EGC3 GC1 M XG3G3 F1 5@5 X@55 5VOY_INST/transfer/n3042= @FX GC3pHF3F3 F1 MpHMEx Ex8  8     @VOY_INST/transfer/n768<0>> HX +H"XCEC1 %CEC2  CEC2 >PCEC2 9p CEC2 4pCEC2 / CEC2 *CE5 5CE5 &CE5 !CE5 ?CE5 0CE5 :CE53  p @@yyX-X-XXXmXmEE  ``#8H"X%#8(%*(*****`)Y`)Y((('('EEMPMyypXpPXHHpH5H5Hq`q`aa`h`HhPHPeee8e8VOY_INST/transfer/internal_request561> pHYoG2G2D* 0000EhEphp55pVOY_INST/transfer/n3048>, @0FX 0HF3F3 F1M0H @0MVOY_INST/transfer/n3060> GY @GC1 XG3G38 @8 ]  ] 5 X  5  e  eVOY_INST/transfer/n3061?+ FX XF2F2 F1 MP X  MPVOY_INST/transfer/n3068> `HY hG4G4 e h ` eVOY_INST/transfer/n562? p`HYHCEC2EHp`EVOY_INST/transfer/c_hold474>PXFX HF4F4 BpG3G3D1HX%pX%VOY_INST/transfer/n3039>Z GY F2F2 G2G2hh ehh h eh  hVOY_INST/transfer/n3043> `GY @F1F1ppG3G3MppMEExx`i@ @i@i(`i(VOY_INST/transfer/n3064>[ FX @G1G1 @VOY_INST/transfer/n3063>W FX 00F3F3 G3G300 M  M    VOY_INST/transfer/n3085>q xGY`G4G4E`E  x VOY_INST/transfer/n3084>C< P`GY `hG1G1 M `h M   % P` %VOY_INST/transfer/n3086>ppxGY J F2F2 %@  @ %@@ @@=0px=0VOY_INST/transfer/n3090> I PGY PF3F3  P  % % X5 X5 %h P %hVOY_INST/transfer/nodata_state> DhQYQ CppF3F3 B G1G1u (Pu(PhpphVOY_INST/transfer/n3091> C XFX XG2G2EpXEpu XuVOY_INST/transfer/n3105>GY F2F2 HG4G4xhxhuhHhuhuVOY_INST/transfer/n3104>y 0FX F1F1 0G1G10uu550VOY_INST/transfer/n3089>MFp GY F3F3 8G3G38  = xp = xVOY_INST/transfer/c_hold>QYQ G2G2-0)-0)VOY_INST/transfer/n1077> pGY HCEC2EhHpEhVOY_INST/transfer/n475>~ FXPCEC2PVOY_INST/transfer/n873>  FX D CEC1u u VOY_INST/transfer/n3087>S pGY B`G4G4 E(`E(55EMEME`pE`VOY_INST/transfer/n974<0>>R BpGY Z ( CEC2 _ !CEC3 c 0CEC4 gPCEC4 fCE5 [CE5 ^CE5 bCE5E PPE P P % P 0 % P ( P % P P PH !H  P P 8 E88uE8puVOY_INST/transfer/discon_state> QYQ BXPG2G2EXPEppn1422? 0FX~8PINO8P}=}=0n1424f U XFX:8 INO 8  U  U ux ` ux `u ` X `uVOY_INST/transfer/n3129=!`GY w0$TT x0!@TT y0TT }0TT ~0 TT 0 TT 0TT 0"TT 0pTT 0TT 0%`TT 0TT 0@TT 0xTT 0TT 0TT 0TT 0TT 0TT 08TT 0TT 0$TT 0 TT 0 XTT 0(TT 0"TT 0TT 0 TT 0#pTT 0PTT 0`TT 0 HTT$ 0 X0 0 000$0!@00` 0 0"0$0#p0 0%`0" 0 H0@00P000 0p  0x 0 0 08 0 0( 0 0 P5`P5n1423@ `0FX<8HINO M8HMEE e  e %   % `0 n14070 +P"(QXQ) INO(`)  (`(`' (`'&m&m% % ##"MP"("Mn1406/ *"QYQ) INO() (('('(&m(&m(% (% (#(#m#"m#n14092 &0`QYQ ) INO  ( ) ' ('&m&m% % m$x8#m$x8#m#m#"M"M  -0`-n14081 %QXQ) INO (@) (@Q(@'Q(@8''8'8'x8' px pn14114 !! QYQ) INO(h) h'(hh'-''-'&'&H&%&H 8% % 8% 8# 8# 8"M 8"M!!-!-!x ! x n14103  QXQ ) INO -(` ) -(``'`'`&m`&m`% `% `#`#`"M`"M!!   n14136 ?pQYQx) INOy(xx) 8'y(x8'8&m8&m8% %@8% h$%@y$Hh$(#y$H(#("M("M( ( (((-(-hMhhpMhn14125 >0QXQ@) INOM(x@) M(x''&m&m% % ##"M""M"`""M"`"M  --0n14158 :! QYQ) INO)]) ()](%%#8#8!! !n14147 9P QXQ) INO () ' ('&m&m% % ##"M"M P  n1417: 5`QYQ`) INO M(h`) M(h(h(h('('y'&y'@&x&%@&x%#8#8q!E!q! xE! x`n14169 4PQXQ8(INO8(m-m- m im`i@`-@-EE88mHHmHH`H`HHUHHPUHn1419< 0!! QYQ"@) INO"M(h"@) "M(h!'!'!&m!&m!% !% !#!#!"M!"M !!! !n1418; / QXQ) INO)]) )U)] )U!(H"'`!(H"'`"$"$"!"!!  ! PCLK1bPADCLKIN INIbGLOBAL_LOGIC0 8FX h0D1C2D0' u h08 uGLOBAL_LOGIC1 !&GY!%XII% %X%% @&m% ' @&m!& ' AD<0> OUTPADINAD<0>.IBUF OUTINBEL_AD<0>.DELAY.OUT OUTDAD<10> OUTPADINAD<10>.IBUF OUTINBEL_AD<10>.DELAY.OUT OUT DAD<11>  OUT PAD INAD<11>.IBUF  OUT INBEL_AD<11>.DELAY.OUT  OUTDAD<12> OUTPADINAD<12>.IBUF OUTINBEL_AD<12>.DELAY.OUT OUTDAD<13> OUTPADINAD<13>.IBUF OUTINBEL_AD<13>.DELAY.OUT OUTDAD<14> OUTPADINAD<14>.IBUF OUTINBEL_AD<14>.DELAY.OUT OUTDAD<15> OUTPAD INAD<15>.IBUF  OUT!INBEL_AD<15>.DELAY.OUT !OUT"DAD<16> #OUT$PAD%INAD<16>.IBUF %OUT&INBEL_AD<16>.DELAY.OUT &OUT'DAD<17> (OUT)PAD*INAD<17>.IBUF *OUT+INBEL_AD<17>.DELAY.OUT +OUT,DAD<18> -OUT.PAD/INAD<18>.IBUF /OUT0INBEL_AD<18>.DELAY.OUT 0OUT1DAD<19> 2OUT3PAD4INAD<19>.IBUF 4OUT5INBEL_AD<19>.DELAY.OUT 5OUT6DAD<1> 7OUT8PAD9INAD<1>.IBUF 9OUT:INBEL_AD<1>.DELAY.OUT :OUT;DAD<20> <OUT=PAD>INAD<20>.IBUF >OUT?INBEL_AD<20>.DELAY.OUT ?OUT@DAD<21> AOUTBPADCINAD<21>.IBUF COUTDINBEL_AD<21>.DELAY.OUT DOUTEDAD<22> FOUTGPADHINAD<22>.IBUF HOUTIINBEL_AD<22>.DELAY.OUT IOUTJDAD<23> KOUTLPADMINAD<23>.IBUF MOUTNINBEL_AD<23>.DELAY.OUT NOUTODAD<24> POUTQPADRINAD<24>.IBUF ROUTSINBEL_AD<24>.DELAY.OUT SOUTTDAD<25> UOUTVPADWINAD<25>.IBUF WOUTXINBEL_AD<25>.DELAY.OUT XOUTYDAD<26> ZOUT[PAD\INAD<26>.IBUF \OUT]INBEL_AD<26>.DELAY.OUT ]OUT^DAD<27> _OUT`PADaINAD<27>.IBUF aOUTbINBEL_AD<27>.DELAY.OUT bOUTcDAD<28> dOUTePADfINAD<28>.IBUF fOUTgINBEL_AD<28>.DELAY.OUT gOUThDAD<29> iOUTjPADkINAD<29>.IBUF kOUTlINBEL_AD<29>.DELAY.OUT lOUTmDAD<2> nOUToPADpINAD<2>.IBUF pOUTqINBEL_AD<2>.DELAY.OUT qOUTrDAD<30> sOUTtPADuINAD<30>.IBUF uOUTvINBEL_AD<30>.DELAY.OUT vOUTwDAD<31> xOUTyPADzINAD<31>.IBUF zOUT{INBEL_AD<31>.DELAY.OUT {OUT|DAD<3> }OUT~PADINAD<3>.IBUF OUTINBEL_AD<3>.DELAY.OUT OUTDAD<4> OUTPADINAD<4>.IBUF OUTINBEL_AD<4>.DELAY.OUT OUTDAD<5> OUTPADINAD<5>.IBUF OUTINBEL_AD<5>.DELAY.OUT OUTDAD<6> OUTPADINAD<6>.IBUF OUTINBEL_AD<6>.DELAY.OUT OUTDAD<7> OUTPADINAD<7>.IBUF OUTINBEL_AD<7>.DELAY.OUT OUTDAD<8> OUTPADINAD<8>.IBUF OUTINBEL_AD<8>.DELAY.OUT OUTDAD<9> OUTPADINAD<9>.IBUF OUTINBEL_AD<9>.DELAY.OUT OUTDCBE<0># OUTPADINBEL_CBE<0>.DELAY.OUT OUTDCBE<1>" OUTPADINBEL_CBE<1>.DELAY.OUT OUTDCBE<2>! OUTPADINBEL_CBE<2>.DELAY.OUT OUTDCBE<3> OUTPADINBEL_CBE<3>.DELAY.OUT OUTDDEVSEL_N) OUTPADINDEVSEL_N.IBUF OUTINBEL_DEVSEL_N.DELAY.OUT OUTDFRAME_N% OUTPADINBEL_FRAME_N.DELAY.OUT OUTDGNT_N/ PADINBEL_GNT_N.DELAY.OUT OUTDIDSEL* PADINIDSEL.IBUF OUTINBEL_IDSEL.DELAY.OUT OUTDIF_ADDR<0> OUTPADBEL_IF_ADDR<0>.ZERO.0 0INIF_ADDR<10> OUTPADIF_ADDR<11> OUTPADIF_ADDR<12> OUTPADIF_ADDR<13> OUTPADIF_ADDR<14> OUTPADIF_ADDR<15> OUTPADIF_ADDR<1> OUTPADBEL_IF_ADDR<1>.ZERO.0 0INIF_ADDR<2> OUTPADIF_ADDR<3> OUTPADIF_ADDR<4> OUTPADIF_ADDR<5> OUTPADIF_ADDR<6> OUTPADIF_ADDR<7> OUTPADIF_ADDR<8> OUTPADIF_ADDR<9> OUTPADINTR_A+ OUTPADBEL_INTR_A.ZERO.0 0INIRDY_N& OUTPADINIRF_AE OUTPADIRF_DOUT<0> OUTPADIRF_DOUT<10> OUTPADIRF_DOUT<11> OUTPADIRF_DOUT<12> OUTPADIRF_DOUT<13> OUTPADIRF_DOUT<14> OUTPADIRF_DOUT<15> OUTPADIRF_DOUT<16> OUTPADIRF_DOUT<17> OUTPADIRF_DOUT<18> OUTPADIRF_DOUT<19> OUTPADIRF_DOUT<1> OUTPADIRF_DOUT<20> OUT PADIRF_DOUT<21>  OUT PADIRF_DOUT<22>  OUT PADIRF_DOUT<23> OUTPADIRF_DOUT<24> OUTPADIRF_DOUT<25> OUTPADIRF_DOUT<26> OUTPADIRF_DOUT<27> OUTPADIRF_DOUT<28> OUTPADIRF_DOUT<29> OUTPADIRF_DOUT<2> OUTPADIRF_DOUT<30> OUTPADIRF_DOUT<31>  OUT!PADIRF_DOUT<32> "OUT#PADIRF_DOUT<33> $OUT%PADIRF_DOUT<34> &OUT'PADIRF_DOUT<35> (OUT)PADIRF_DOUT<3> *OUT+PADIRF_DOUT<4> ,OUT-PADIRF_DOUT<5> .OUT/PADIRF_DOUT<6> 0OUT1PADIRF_DOUT<7> 2OUT3PADIRF_DOUT<8> 4OUT5PADIRF_DOUT<9> 6OUT7PADIRF_RD 8PAD9INIRF_ST :OUT;PADIWF_AF <OUT=PADIWF_DIN<0> >PAD?INIWF_DIN<10> @PADAINIWF_DIN<11> BPADCINIWF_DIN<12> DPADEINIWF_DIN<13> FPADGINIWF_DIN<14> HPADIINIWF_DIN<15> JPADKINIWF_DIN<16> LPADMINIWF_DIN<17> NPADOINIWF_DIN<18> PPADQINIWF_DIN<19> RPADSINIWF_DIN<1> TPADUINIWF_DIN<20> VPADWINIWF_DIN<21> XPADYINIWF_DIN<22> ZPAD[INIWF_DIN<23> \PAD]INIWF_DIN<24> ^PAD_INIWF_DIN<25> `PADaINIWF_DIN<26> bPADcINIWF_DIN<27> dPADeINIWF_DIN<28> fPADgINIWF_DIN<29> hPADiINIWF_DIN<2> jPADkINIWF_DIN<30> lPADmINIWF_DIN<31> nPADoINIWF_DIN<3> pPADqINIWF_DIN<4> rPADsINIWF_DIN<5> tPADuINIWF_DIN<6> vPADwINIWF_DIN<7> xPADyINIWF_DIN<8> zPAD{INIWF_DIN<9> |PAD}INIWF_LD ~OUTPADIWF_WR PADINLADDR<6> PADINLADDR<7> PADINLADDR<8> PADINLADDR<9> PADINLDIN<10> PADINLDIN<11> PADINLDIN<12> PADINLDIN<13> PADINLDIN<14> PADINLDIN<15> PADINLDIN<16> PADINLDIN<17> PADINLDIN<18> PADINLDIN<19> PADINLDIN<20> PADINLDIN<21> PADINLDIN<22> PADINLDIN<23> PADINLDIN<24> PADINLDIN<25> PADINLDIN<26> PADINLDIN<27> PADINLDIN<28> PADINLDIN<29> PADINLDIN<2> PADINLDIN<30> PADINLDIN<31> PADINLDIN<3> PADINLDIN<4> PADINLDIN<5> PADINLDIN<6> PADINLDIN<7> PADINLDIN<8> PADINLDIN<9> PADINLINT_N OUTPADLWE PADINPAR$ OUTPADINPCI_CORE/PCI_LC/INIT_KO GGPCI_CORE/PCI_LC/TARG  FFPCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/AD_LB FFPCI_CORE/PCI_LC/OUT_CE/DATA_CE_B"~ FFPCI_CORE/PCI_LC/INITIATOR_CNTL/$1N2641 GDPCI_CORE/PCI_LC/INITIATOR_CNTL/M_ADDR_DIN  GDPCI_CORE/PCI_LC/BAR0/EQ31-16%K PGOGPCI_CORE/PCI_LC/BAR0/EQ15-CMD%F QFOFPCI_CORE/PCI_LC/BAR0/BR-15-8/EQ76&, SGRGPCI_CORE/PCI_LC/BAR0/BR-15-8/EQ54&( TFRFPCI_CORE/PCI_LC/BAR0/BR-15-8/Q5_Hint UHWDPCI_CORE/PCI_LC/BAR0/BR-15-8/Q7_Hint XHZDPCI_CORE/PCI_LC/BAR0/BR-23-16/EQ32% \G[GPCI_CORE/PCI_LC/BAR0/BR-23-16/EQ10% ]F[FPCI_CORE/PCI_LC/BAR0/BR-23-16/EQ76% _G^GPCI_CORE/PCI_LC/BAR0/BR-23-16/EQ54% `F^FPCI_CORE/PCI_LC/BAR0/BR-23-16/Q1_Hint aHcDPCI_CORE/PCI_LC/BAR0/BR-23-16/Q4_Hint dHfDPCI_CORE/PCI_LC/BAR0/BR-23-16/Q5_Hint gHiDPCI_CORE/PCI_LC/BAR0/BR-23-16/Q7_Hint jHlDPCI_CORE/PCI_LC/BAR0/BR-31-24/EQ32% nGmGPCI_CORE/PCI_LC/BAR0/BR-31-24/EQ10% oFmFPCI_CORE/PCI_LC/BAR0/BR-31-24/EQ76% qGpGPCI_CORE/PCI_LC/BAR0/BR-31-24/EQ54% rFpFPCI_CORE/PCI_LC/BAR0/BR-31-24/Q1_Hint sHuDPCI_CORE/PCI_LC/BAR0/BR-31-24/Q3_Hint vHxDPCI_CORE/PCI_LC/BAR0/BR-31-24/Q5_Hint yH{DPCI_CORE/PCI_LC/BAR0/BR-31-24/Q7_Hint |H~DPCI_CORE/PCI_LC/BAR0/NS_NL_MEM%Y HDPCI_CORE/PCI_LC/BAR0/NS_EQ%W GDPCI_CORE/PCI_LC/BAR0/SET_NL%{ FFPCI_CORE/PCI_LC/BAR1/NS_NL_MEM': HDPCI_CORE/PCI_LC/BAR1/NS_EQ'8 GDPCI_CORE/PCI_LC/BAR1/SET_NL'\ FFPCI_CORE/PCI_LC/BAR2/NS_NL_MEM] HDPCI_CORE/PCI_LC/BAR2/NS_EQ[ GDPCI_CORE/PCI_LC/BAR2/SET_NL FFPCI_CORE/PCI_LC/PCI-CNTL/NS_PWIN GDPCI_CORE/PCI_LC/DATA_VLD/NS_SDV_IN"e FDPCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1I2601/D HDPCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1I2601/$1N2215 GGPCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1I2698/QD GDPCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1I2697/QD FDPCI_CORE/PCI_LC/INITIATOR_CNTL/DEV_TO/$1I2699/QD FDPCI_CORE/PCI_LC/INITIATOR_CNTL/NS_DR_BUS # HDPCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/EQN-A GGPCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/EQN-D FFPCI_CORE/PCI_LC/INITIATOR_CNTL/DR_BUS/M_DATA_C2  F FPCI_CORE/PCI_LC/PCI-CSR/CMDREG/Q6_Hint GDPCI_CORE/PCI_LC/INITIATOR_CNTL/FRAME/EQN-E  GGPCI_CORE/PCI_LC/INITIATOR_CNTL/FRAME/EQN-D ~ FFPCI_CORE/PCI_LC/INITIATOR_CNTL/IRDY/EQN-E FFPCI_CORE/PCI_LC/OUT_CE/M_FIRSTIN" GDPCI_CORE/PCI_LC/INITIATOR_CNTL/IRDY/NS FDPCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/NS_I_IDLE x  H#DPCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/ADDR_GNT e "F FPCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/M_DATA_C2 v %G$GPCI_CORE/PCI_LC/INITIATOR_CNTL/I_IDLE/EQ-B j &F$FPCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q1/MD p (G+DPCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q0/MD  )F*DPCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q2/MD ,H/DPCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q2/TQ .F,FPCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q3/MD 0H3DPCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q4/MD a 1G4DPCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q3/TQ 2F0FPCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q5/MD R 5H8DPCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q5/TQ U 7F5FPCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q6/MD 9H<DPCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q7/MD :G=DPCI_CORE/PCI_LC/INITIATOR_CNTL/LATENCY_TIMER/Q6/TQ ;F9FPCI_CORE/PCI_LC/INITIATOR_CNTL/M_DATA/EQN-A ?G>GPCI_CORE/PCI_LC/INITIATOR_CNTL/M_DATA/EQN_B @F>FPCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/EQN-Y CGBGPCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/EQN-X DFBFPCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/AD_LT GFEFPCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/FNS IGKDPCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/AD_T JFHFPCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/AD_B NFLFPCI_CORE/PCI_LC/Q2/IF QGSDPCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/EOT_D TFVDPCI_CORE/PCI_LC/INITIATOR_CNTL/OE_FRAME/NS_IN  ZF[DPCI_CORE/PCI_LC/INITIATOR_CNTL/PCI-0CH/LAT-TIME/Q1_Hint \H`DPCI_CORE/PCI_LC/INITIATOR_CNTL/PCI-0CH/LAT-TIME/Q3_Hint aHeDPCI_CORE/PCI_LC/INITIATOR_CNTL/PCI-0CH/LAT-TIME/Q5_Hint fHjDPCI_CORE/PCI_LC/INITIATOR_CNTL/PCI-0CH/LAT-TIME/Q7_Hint kHoDPCI_CORE/PCI_LC/INITIATOR_CNTL/S_TAR/S_TAR_IN qGtDPCI_CORE/PCI_LC/INITIATOR_CNTL/REQ/$1N2559 rFpFPCI_CORE/PCI_LC/INITIATOR_CNTL/TIME_OUT/D uHxDPCI_CORE/PCI_LC/INITIATOR_CNTL/$2N2644 wFuFPCI_CORE/PCI_LC/PCI-PAR/$3N2746 {FyFPCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/NS_CFG }GDPCI_CORE/PCI_LC/OUT_CE/S_FIRSTIN" ~FDPCI_CORE/PCI_LC/OUT_CE/DATA_CE_T" FFPCI_CORE/PCI_LC/OUT_SEL/SEL_IN" HDPCI_CORE/PCI_LC/OUT_SEL/M_IN" GGPCI_CORE/PCI_LC/OUT_SEL/S_EQN" FFVOY_INST/registers/n16281 GDPCI_CORE/PCI_LC/PAR HDPCI_CORE/PCI_LC/PCI-PAR/CBEI32 GGPCI_CORE/PCI_LC/PCI-PAR/CBEI10 FFPCI_CORE/PCI_LC/CBEOUT08 GDPCI_CORE/PCI_LC/CBEOUT19 GDPCI_CORE/PCI_LC/CBEOUT2: GDPCI_CORE/PCI_LC/CBEOUT3; GDPCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/SET_CFG GDPCI_CORE/PCI_LC/PCI-CNTL/EN_CFG_IN6 FDPCI_CORE/PCI_LC/PCI-CNTL/CMD11! GDPCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/LA0/FDCE_Hint HDPCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/LA2/FDCE_Hint HDPCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/LA4/FDCE_Hint HDPCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/LA6/FDCE_Hint HDPCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/LA8/FDCE_Hint HDPCI_CORE/PCI_LC/PCI-CNTL/ADX0 HDPCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1N2666 GGPCI_CORE/PCI_LC/PCI-CNTL/ADX1 HDPCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1N2762 FFPCI_CORE/PCI_LC/PCI-CNTL/ADX11 HDPCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1N2623 FFPCI_CORE/PCI_LC/PCI-CNTL/ADX13  H DPCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1N2621  F FPCI_CORE/PCI_LC/PCI-CNTL/ADX15  HDPCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1N2619  F FPCI_CORE/PCI_LC/PCI-CNTL/ADX2 HDPCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1N2668 FFPCI_CORE/PCI_LC/PCI-CNTL/ADX3 HDPCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1N2764 FFPCI_CORE/PCI_LC/PCI-CNTL/ADX4 HDPCI_CORE/PCI_LC/PCI-CNTL/PCI-LA/$1N2670 FFPCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/RW_CFG GGPCI_CORE/PCI_LC/PCI-CNTL/PCI-LC/CFG00w FFPCI_CORE/PCI_LC/PCI-CNTL/CMD10 G!DPCI_CORE/PCI_LC/PCI-CNTL/CMD1 F DPCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/$2I616/D "H%DPCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/$2I616/$1N18 #G"GPCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/$2I617/DG &F'DPCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE1/D; (H+DPCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE1/RB *F(FPCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE15/D ,H.DPCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE15/R -F,FPCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE3/D /H1DPCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE3/R 0F/FPCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE4/D 2H4DPCI_CORE/PCI_LC/PCI-CNTL/PCI-OE/OE4/R 3F2FPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/DATA_NS_DATA_OR_BKOF 9F7FPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/TERM1 ?G>GPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/SUB_WIN @F>FPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/FAST_TERM_WIN CGBGPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/TERMINATE DFBFPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/$2I991/D EGGDPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/NS_IN IFJDPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/EQN-Ea LGKGPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/S_EQNs PGOGPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/C_EQNZ QFOFPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$2N818 RGTDPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/NS_TRDY-l VFUFPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/$1I1266/PCI_CORE/PCI_LC/OE_PERR_Fint \F[FPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/S_EQN, _G^GPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/C_EQN `F^FPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/NS-OE_ADO_B aFbDPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/NS-OE_ADO_LB cFdDPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/NS-OE_ADO_LT eFfDPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/NS-OE_ADO_T gFhDPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/EQN-A jGiGPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/EQN-B kFiFPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/S_DATA_COND+ nGmGPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-XOE/ACTIVE oFmFPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/EQN-E rGqGPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/BKOF_NS_BKOF sFqFPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/S_EQN vFtFPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/EQN-A xGwGPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/EQN-B yFwFPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BKOF/NS_BKOF zF{DPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BUSY/NS_BUSY ~HDPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BUSY/IDLE_NS_BUSY~ G~GPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-BUSY/BUSY_NS_BUSYt F~FPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/EQN-E FFPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/BUSY_NS_DATA GGPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-DATA/CBUSY_NS_DATA FFPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-IDLE/DATA_NS_TNARY GGPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-IDLE/BKOF_NS_TNARU FFPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-IDLE/NS_IDLE_ HDPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-IDLE/IDLE_NS_IDLE] GGPCI_CORE/PCI_LC/PCI-CNTL/PCI-TSM/PCI-IDLE/BUSY_NS_IDLEV FFPCI_CORE/PCI_LC/PCI-CSR/CMDREG/Q1_Hint HDPCI_CORE/PCI_LC/PCI-CSR/STATREG/Q6/Du FDPCI_CORE/PCI_LC/PCI-CSR/STATREG/Q13/D GDPCI_CORE/PCI_LC/PCI-CSR/STATREG/Q12/D FDPCI_CORE/PCI_LC/PCI-CSR/STATREG/Q15/DY GDPCI_CORE/PCI_LC/PCI-CSR/STATREG/Q14/Dg FDPCI_CORE/PCI_LC/PCI-CSR/STATREG/Q11/D GDPCI_CORE/PCI_LC/PCI-CSR/STATREG/Q8/D FDPCI_CORE/PCI_LC/PCI-IREG/INT-LNX/Q1_Hint HDPCI_CORE/PCI_LC/PCI-IREG/INT-LNX/Q5_Hint GDVOY_INST/registers/xfer_padri/input_ll<5>6u FFPCI_CORE/PCI_LC/PCI-IREG/INT-LNX/Q6_Hint HDPCI_CORE/PCI_LC/PCI-IREG/INT-LNX/Q7_Hint GDVOY_INST/registers/xfer_padri/input_ll<7>6s FFPCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q0_Hint HDPCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q10_Hint HDPCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q12_Hint HDPCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q14_Hint HDPCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q2_Hint HDPCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q4_Hint HDPCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q6_Hint HDPCI_CORE/PCI_LC/PCI-PAR/$2I2713/LOWER/Q8_Hint HDPCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q0_Hint HDPCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q10_Hint HDPCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q12_Hint HDPCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q14_Hint HDPCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q2_Hint HDPCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q4_Hint HDPCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q6_Hint HDPCI_CORE/PCI_LC/PCI-PAR/$2I2713/UPPER/Q8_Hint HDPCI_CORE/PCI_LC/PCI-PAR/PWIN FFPCI_CORE/PCI_LC/PCI-PAR/X1I) GGPCI_CORE/PCI_LC/PCI-PAR/X0I' FFPCI_CORE/PCI_LC/PCI-PAR/X7I5 GGPCI_CORE/PCI_LC/PCI-PAR/X6I3 FFPCI_CORE/PCI_LC/PCI-PAR/X1O* GGPCI_CORE/PCI_LC/PCI-PAR/X0O( FFPCI_CORE/PCI_LC/PCI-PAR/X3O. GGPCI_CORE/PCI_LC/PCI-PAR/X2O, FFPCI_CORE/PCI_LC/PCI-PAR/X5O2 GGPCI_CORE/PCI_LC/PCI-PAR/X4O0 FFPCI_CORE/PCI_LC/PCI-PAR/X7O6 GGPCI_CORE/PCI_LC/PCI-PAR/X6O4 FFPCI_CORE/PCI_LC/PCI-PAR/X3I- GGPCI_CORE/PCI_LC/PCI-PAR/X2I+ FFPCI_CORE/PCI_LC/PCI-PAR/X5I1  GGPCI_CORE/PCI_LC/PCI-PAR/X4I/  FFPCI_CORE/PCI_LC/INITIATOR_CNTL/FRAME/EQN-C } GGPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/NS_INk GDPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-DSEL/SWAN2 FFPCI_CORE/PCI_LC/Q15/IF* FDPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/SWAN2q GGPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-TRDY/SWAN1p FFPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/ASSERT_STOP GGPCI_CORE/PCI_LC/PCI-CNTL/PCI-OFCN/PCI-STOP/CLR_STOP  FFPCI_CORE/PCI_LC/INITIATOR_CNTL/IRDY/EQN-D #G"GPCI_CORE/PCI_LC/INITIATOR_CNTL/IRDY/EQN-C $F"FPCI_CORE/PCI_LC/SHD/LOWER/Q0_Hint 'H)DPCI_CORE/PCI_LC/SHD/LOWER/Q10_Hint *H,DPCI_CORE/PCI_LC/SHD/LOWER/Q12_Hint -H/DPCI_CORE/PCI_LC/SHD/LOWER/Q14_Hint 0H2DPCI_CORE/PCI_LC/SHD/LOWER/Q2_Hint 3H5DPCI_CORE/PCI_LC/SHD/LOWER/Q4_Hint 6H8DPCI_CORE/PCI_LC/SHD/LOWER/Q6_Hint 9H;DPCI_CORE/PCI_LC/SHD/LOWER/Q8_Hint =G@DPCI_CORE/PCI_LC/SHD/UPPER/Q0_Hint AHEDPCI_CORE/PCI_LC/SHD/UPPER/Q10_Hint FHJDPCI_CORE/PCI_LC/SHD/UPPER/Q12_Hint KHODPCI_CORE/PCI_LC/SHD/UPPER/Q14_Hint PHTDPCI_CORE/PCI_LC/SHD/UPPER/Q2_Hint UHYDPCI_CORE/PCI_LC/SHD/UPPER/Q4_Hint ZH^DPCI_CORE/PCI_LC/SHD/UPPER/Q6_Hint _HcDPCI_CORE/PCI_LC/SHD/UPPER/Q8_Hint dHhDM_CBE<0> kFiFVOY_INST/transfer/n3141> oGrDPCI_CORE/PCI_LC/SRC_EN/MDATA_EQN"C uFsFPERR_N, OUTPADINPERR_N.IBUF OUTINBEL_PERR_N.DELAY.OUT OUTDREQ_N. OUTPADREQ_N.OUTFF QINRST_N0 PADINSERR_N- OUTPADSTOP_N( OUTPADINBEL_STOP_N.DELAY.OUT OUTDTRDY_N' OUTPADINVOY_INST/registers/addr_shadow_reg<6>_Hint HDVOY_INST/registers/addr_shadow_reg<8>_Hint GDVOY_INST/registers/controli/n12025j HDVOY_INST/registers/controli/n12015g GGVOY_INST/registers/controli/n12095t HDVOY_INST/registers/controli/n12085q FFVOY_INST/registers/controli/n12165~ HDVOY_INST/registers/controli/n12155{ FFVOY_INST/registers/controli/n12235 HDVOY_INST/registers/controli/n12225 FFVOY_INST/registers/controli/n11815I GDVOY_INST/registers/controli/n11755D FDVOY_INST/inc_pa- GDVOY_INST/registers/controli/n12675 HDVOY_INST/registers/controli/n12665 FFVOY_INST/registers/controli/n12525 HDVOY_INST/registers/controli/n12515 GGVOY_INST/registers/controli/n12505 FFVOY_INST/registers/controli/n11805M FDVOY_INST/registers/controli/n12305 HDVOY_INST/registers/controli/n12295 FFVOY_INST/registers/controli/n12375 HDVOY_INST/registers/controli/n12365 FFVOY_INST/registers/controli/n12445 HDVOY_INST/registers/controli/n12435 FFVOY_INST/registers/controli/n11895Y FDVOY_INST/registers/controli/ctrl_bits212<9>4 FDVOY_INST/registers/controli/n11454 HDVOY_INST/registers/controli/n11444 FFVOY_INST/registers/controli/n11955` FDVOY_INST/registers/controli/n12595 HDVOY_INST/registers/controli/n12585 FFVOY_INST/registers/controli/n12745 HDVOY_INST/registers/controli/n12735 FFVOY_INST/registers/ldin_registered_reg<10>/$1I13_Hint HDVOY_INST/registers/ldin_registered_reg<12>/$1I13_Hint HDVOY_INST/registers/ldin_registered_reg<14>/$1I13_Hint HDVOY_INST/registers/ldin_registered_reg<16>/$1I13_Hint H DVOY_INST/registers/ldin_registered_reg<18>/$1I13_Hint  HDVOY_INST/registers/ldin_registered_reg<20>/$1I13_Hint HDVOY_INST/registers/ldin_registered_reg<22>/$1I13_Hint HDVOY_INST/registers/ldin_registered_reg<24>/$1I13_Hint HDVOY_INST/registers/ldin_registered_reg<26>/$1I13_Hint HDVOY_INST/registers/ldin_registered_reg<28>/$1I13_Hint H!DVOY_INST/registers/ldin_registered_reg<2>/$1I13_Hint "H&DVOY_INST/registers/ldin_registered_reg<30>/$1I13_Hint 'H)DVOY_INST/registers/ldin_registered_reg<4>/$1I13_Hint *H.DVOY_INST/registers/ldin_registered_reg<6>/$1I13_Hint /H2DVOY_INST/registers/ldin_registered_reg<8>/$1I13_Hint 3H6DVOY_INST/registers/n16351 GDVOY_INST/registers/n16411 GDVOY_INST/registers/n16391 FDVOY_INST/registers/n16472 HDVOY_INST/registers/n16321 GDVOY_INST/registers/n16381 GDVOY_INST/registers/n16341 FDVOY_INST/registers/n16452 HDVOY_INST/registers/n16432 GDVOY_INST/registers/xfer_ladri/n3334) GDVOY_INST/registers/xfer_ladri/n3344% FDVOY_INST/registers/xfer_ladri/n3624J HDVOY_INST/registers/xfer_ladri/n3614L GGVOY_INST/registers/xfer_ladri/n3604K FFVOY_INST/registers/xfer_ladri/n3694S HDVOY_INST/registers/xfer_ladri/n3684U GGVOY_INST/registers/xfer_ladri/n3674T FFVOY_INST/registers/xfer_ladri/n3764\ HDVOY_INST/registers/xfer_ladri/n3754^ GGVOY_INST/registers/xfer_ladri/n3744] FFVOY_INST/registers/xfer_ladri/n3834e HDVOY_INST/registers/xfer_ladri/n3824g GGVOY_INST/registers/xfer_ladri/n3814f FFVOY_INST/registers/xfer_ladri/n33944 GDVOY_INST/registers/xfer_ladri/n34040 FDVOY_INST/registers/xfer_ladri/n3454< GDVOY_INST/registers/xfer_ladri/n34648 FDVOY_INST/registers/xfer_ladri/n3904n HDVOY_INST/registers/xfer_ladri/n3894p GGVOY_INST/registers/xfer_ladri/n3884o FFVOY_INST/registers/xfer_ladri/n3974w HDVOY_INST/registers/xfer_ladri/n3964y GGVOY_INST/registers/xfer_ladri/n3954x FFVOY_INST/registers/xfer_ladri/n4044 HDVOY_INST/registers/xfer_ladri/n4034 GGVOY_INST/registers/xfer_ladri/n4024 FFVOY_INST/registers/xfer_ladri/n4464 HDVOY_INST/registers/xfer_ladri/n4454 GGVOY_INST/registers/xfer_ladri/n4444 FFVOY_INST/registers/xfer_padri/n4546 GDVOY_INST/registers/xfer_leni/n6703 FDVOY_INST/registers/xfer_leni/n6282 GDVOY_INST/registers/xfer_leni/n6232 FDVOY_INST/registers/xfer_leni/n6783 HDVOY_INST/registers/xfer_leni/n6512 GDVOY_INST/registers/xfer_leni/n6522 FDVOY_INST/registers/xfer_leni/n6853 HDVOY_INST/registers/xfer_leni/n6843 GGVOY_INST/registers/xfer_leni/n6833 FFVOY_INST/registers/xfer_leni/n6923% HDVOY_INST/registers/xfer_leni/n6913' GGVOY_INST/registers/xfer_leni/n6903& FFVOY_INST/registers/xfer_leni/n6993. HDVOY_INST/registers/xfer_leni/n69830 GGVOY_INST/registers/xfer_leni/n6973/ FFVOY_INST/registers/xfer_leni/n70637 HDVOY_INST/registers/xfer_leni/n70539 GGVOY_INST/registers/xfer_leni/n70438 FFVOY_INST/registers/xfer_leni/n6572 G DVOY_INST/registers/xfer_leni/n6582 F DVOY_INST/registers/xfer_leni/n6633  GDVOY_INST/registers/xfer_leni/n6643  F DVOY_INST/registers/xfer_leni/n7133@ HDVOY_INST/registers/xfer_leni/n7123B GGVOY_INST/registers/xfer_leni/n7113A FFVOY_INST/registers/xfer_leni/n7203I HDVOY_INST/registers/xfer_leni/n7193K GGVOY_INST/registers/xfer_leni/n7183J FFVOY_INST/registers/xfer_leni/n7273R HDVOY_INST/registers/xfer_leni/n7263T GGVOY_INST/registers/xfer_leni/n7253S FFVOY_INST/registers/xfer_leni/n7343[ HDVOY_INST/registers/xfer_leni/n7333] GGVOY_INST/registers/xfer_leni/n7323\ FFVOY_INST/registers/xfer_padri/count0/CARRY38 :COUT0;G2VOY_INST/registers/xfer_padri/count0/CARRY59 =COUT0>G2VOY_INST/registers/xfer_padri/count0/CARRY79 @COUT0AG2BEL_VOY_INST/registers/xfer_padri/count0/ORL0_OUT.POWER.1 C1DCINVOY_INST/registers/xfer_padri/count0/CARRY18 DCOUT0EG2VOY_INST/registers/xfer_padri/count0/ORL2_OUT8 GHKDVOY_INST/registers/xfer_padri/input_ll<4>6v IFGFVOY_INST/registers/xfer_padri/count0/ORL4_OUT9 LHODVOY_INST/registers/xfer_padri/input_ll<6>6t NFLFVOY_INST/registers/xfer_padri/count0/ORL1_OUT8 QHTDVOY_INST/registers/xfer_padri/input_ll<3>6w RFQFVOY_INST/registers/xfer_padri/count0/ANDL27_OUT9- UGXDVOY_INST/registers/xfer_padri/count0/ANDL26_OUT9' VFWDVOY_INST/registers/xfer_padri/count0/tcand/tcand_5_OUT9= ZGYGVOY_INST/registers/xfer_padri/count0/tcand/tcand_4_OUT9< [FYFVOY_INST/registers/xfer_padri/count1/CARRY37 \COUT0]G2VOY_INST/registers/xfer_padri/count1/ORL3_OUT7 ]G_DVOY_INST/registers/xfer_padri/count1/ORL2_OUT7 ^F`DVOY_INST/registers/xfer_padri/count1/CARRY57 aCOUT0bG2VOY_INST/registers/xfer_padri/count1/ORL5_OUT7 bGdDVOY_INST/registers/xfer_padri/count1/ORL4_OUT7 cFeDVOY_INST/registers/xfer_padri/count1/CARRY77 fCOUT0gG2VOY_INST/registers/xfer_padri/count1/ORL7_OUT7 gGiDVOY_INST/registers/xfer_padri/count1/ORL6_OUT7 hFjDBEL_VOY_INST/pa<9>.POWER.1 m1nCINVOY_INST/registers/xfer_padri/count1/CARRY17y nCOUT0oG2VOY_INST/registers/xfer_padri/count1/ORL1_OUT7 oGqDVOY_INST/registers/xfer_padri/count1/ORL0_OUT7 pFrDVOY_INST/registers/xfer_padri/count2/CARRY3;R sCOUT0tG2VOY_INST/registers/xfer_padri/count2/CARRY5;d vCOUT0wG2VOY_INST/registers/xfer_padri/count2/CARRY7;v yCOUT0zG2VOY_INST/registers/xfer_padri/count2/ORL7_OUT; zG|DVOY_INST/registers/xfer_padri/count2/ORL6_OUT; {F}DBEL_VOY_INST/pa<17>.POWER.1 1CINVOY_INST/registers/xfer_padri/count2/CARRY1;@ COUT0G2VOY_INST/registers/xfer_padri/count2/ORL1_OUT;Q GDVOY_INST/registers/xfer_padri/count2/ORL0_OUT;M FDVOY_INST/registers/xfer_padri/count2/FLOP4_Hint HDVOY_INST/registers/xfer_padri/count2/FLOP5_Hint HDVOY_INST/registers/xfer_padri/count3/CARRY3:- COUT0G2VOY_INST/registers/xfer_padri/count3/ORL3_OUT:> GDVOY_INST/registers/xfer_padri/count3/ORL2_OUT:: FDVOY_INST/registers/xfer_padri/count3/CARRY5:? COUT0G2VOY_INST/registers/xfer_padri/count3/ORL5_OUT:P GDVOY_INST/registers/xfer_padri/count3/ORL4_OUT:L FDVOY_INST/registers/xfer_padri/count3/CARRY7:Q COUT0G2VOY_INST/registers/xfer_padri/count3/ORL7_OUT:a GDVOY_INST/registers/xfer_padri/count3/ORL6_OUT:] FDBEL_VOY_INST/pa<25>.POWER.1 1CINVOY_INST/registers/xfer_padri/count3/CARRY1: COUT0G2VOY_INST/registers/xfer_padri/count3/ORL1_OUT:, GDVOY_INST/registers/xfer_padri/count3/ORL0_OUT:( FDVOY_INST/registers/xfer_padri/n5897D GGVOY_INST/registers/xfer_padri/n5957J FFVOY_INST/registers/xfer_padri/n5997R FFVOY_INST/resolve/n736/ FDVOY_INST/transfer/n3190> FDVOY_INST/transfer/n3137= FFDBEL_n1450.RAMBB.OUT0 OUT0WEBEL_n1450.RAMBB.OUT1 OUT1D1BEL_n1450.RAMBB.OUT2 OUT2WEBEL_n1450.RAMBB.OUT3 OUT3D0VOY_INST/transfer/irf_dout_src<10>= GDVOY_INST/transfer/irf_dout_src<11>= FDBEL_n1448.RAMBB.OUT0 OUT0WEBEL_n1448.RAMBB.OUT1 OUT1D1BEL_n1448.RAMBB.OUT2 OUT2WEBEL_n1448.RAMBB.OUT3 OUT3D0VOY_INST/transfer/irf_dout_src<12>= GDVOY_INST/transfer/irf_dout_src<13>= FDBEL_n1446.RAMBB.OUT0 OUT0WEBEL_n1446.RAMBB.OUT1 OUT1D1BEL_n1446.RAMBB.OUT2 OUT2WEBEL_n1446.RAMBB.OUT3 OUT3D0VOY_INST/transfer/irf_dout_src<14>= GDVOY_INST/transfer/irf_dout_src<15>= FDBEL_n1444.RAMBB.OUT0 OUT0WEBEL_n1444.RAMBB.OUT1 OUT1D1BEL_n1444.RAMBB.OUT2 OUT2WEBEL_n1444.RAMBB.OUT3 OUT3D0VOY_INST/transfer/irf_dout_src<16>= GDVOY_INST/transfer/irf_dout_src<17>= FDBEL_n1442.RAMBB.OUT0 OUT0WEBEL_n1442.RAMBB.OUT1 OUT1D1BEL_n1442.RAMBB.OUT2 OUT2WEBEL_n1442.RAMBB.OUT3 OUT3D0VOY_INST/transfer/irf_dout_src<18>= GDVOY_INST/transfer/irf_dout_src<19>= FDBEL_n1460.RAMBB.OUT0 OUT0WEBEL_n1460.RAMBB.OUT1 OUT1D1BEL_n1460.RAMBB.OUT2 OUT2WEBEL_n1460.RAMBB.OUT3 OUT3D0VOY_INST/transfer/irf_dout_src<0>= GDVOY_INST/transfer/irf_dout_src<1>= FDBEL_n1440.RAMBB.OUT0 OUT0WEBEL_n1440.RAMBB.OUT1 OUT1D1BEL_n1440.RAMBB.OUT2 OUT2WEBEL_n1440.RAMBB.OUT3 OUT3D0VOY_INST/transfer/irf_dout_src<20>= GDVOY_INST/transfer/irf_dout_src<21>= FDBEL_n1438.RAMBB.OUT0 OUT0WEBEL_n1438.RAMBB.OUT1 OUT1D1BEL_n1438.RAMBB.OUT2 OUT2WEBEL_n1438.RAMBB.OUT3 OUT3D0VOY_INST/transfer/irf_dout_src<22>= GDVOY_INST/transfer/irf_dout_src<23>= FDBEL_n1436.RAMBB.OUT0 OUT0WEBEL_n1436.RAMBB.OUT1 OUT1D1BEL_n1436.RAMBB.OUT2 OUT2WEBEL_n1436.RAMBB.OUT3 OUT3D0VOY_INST/transfer/irf_dout_src<24>= GDVOY_INST/transfer/irf_dout_src<25>= FDBEL_n1434.RAMBB.OUT0 OUT0WEBEL_n1434.RAMBB.OUT1 OUT1D1BEL_n1434.RAMBB.OUT2 OUT2WEBEL_n1434.RAMBB.OUT3 OUT3D0VOY_INST/transfer/irf_dout_src<26>= GDVOY_INST/transfer/irf_dout_src<27>= FDBEL_n1432.RAMBB.OUT0 OUT0WEBEL_n1432.RAMBB.OUT1 OUT1D1BEL_n1432.RAMBB.OUT2 OUT2WEBEL_n1432.RAMBB.OUT3 OUT3D0VOY_INST/transfer/irf_dout_src<28>= GDVOY_INST/transfer/irf_dout_src<29>= FDBEL_n1430.RAMBB.OUT0 OUT0WEBEL_n1430.RAMBB.OUT1 OUT1D1BEL_n1430.RAMBB.OUT2 OUT2WEBEL_n1430.RAMBB.OUT3 OUT3D0VOY_INST/transfer/irf_dout_src<30>= GDVOY_INST/transfer/irf_dout_src<31>= FDBEL_n1428.RAMBB.OUT0 OUT0WEBEL_n1428.RAMBB.OUT1 OUT1D1BEL_n1428.RAMBB.OUT2 OUT2WEBEL_n1428.RAMBB.OUT3 OUT3D0VOY_INST/transfer/irf_dout_src<32>= GDVOY_INST/transfer/irf_dout_src<33>= FDBEL_n1426.RAMBB.OUT0 OUT0WEBEL_n1426.RAMBB.OUT1 OUT1D1BEL_n1426.RAMBB.OUT2 OUT2WEBEL_n1426.RAMBB.OUT3 OUT3D0VOY_INST/transfer/irf_dout_src<34>= GDVOY_INST/transfer/irf_dout_src<35>= FDBEL_n1458.RAMBB.OUT0 OUT0WEBEL_n1458.RAMBB.OUT1 OUT1D1BEL_n1458.RAMBB.OUT2 OUT2WEBEL_n1458.RAMBB.OUT3 OUT3D0VOY_INST/transfer/irf_dout_src<2>= GDVOY_INST/transfer/irf_dout_src<3>= FDBEL_n1456.RAMBB.OUT0 OUT0 WEBEL_n1456.RAMBB.OUT1 OUT1 D1BEL_n1456.RAMBB.OUT2 OUT2 WEBEL_n1456.RAMBB.OUT3 OUT3 D0VOY_INST/transfer/irf_dout_src<4>=  G DVOY_INST/transfer/irf_dout_src<5>=  F DBEL_n1454.RAMBB.OUT0  OUT0WEBEL_n1454.RAMBB.OUT1  OUT1D1BEL_n1454.RAMBB.OUT2  OUT2WEBEL_n1454.RAMBB.OUT3  OUT3D0VOY_INST/transfer/irf_dout_src<6>= GDVOY_INST/transfer/irf_dout_src<7>= FDBEL_n1452.RAMBB.OUT0 OUT0WEBEL_n1452.RAMBB.OUT1 OUT1D1BEL_n1452.RAMBB.OUT2 OUT2WEBEL_n1452.RAMBB.OUT3 OUT3D0VOY_INST/transfer/irf_dout_src<8>= GDVOY_INST/transfer/irf_dout_src<9>= FDVOY_INST/transfer/irf_fifo/n950Bu HDVOY_INST/transfer/irf_fifo/n949Bw GGVOY_INST/transfer/irf_fifo/n948Bv FFVOY_INST/transfer/irf_fifo/n902B@ HDVOY_INST/transfer/irf_fifo/n831A GDVOY_INST/transfer/irf_fifo/n901BA FFVOY_INST/transfer/irf_fifo/n912BL  H#DVOY_INST/transfer/irf_fifo/n836A !G$DVOY_INST/transfer/irf_fifo/n911BM "F FVOY_INST/transfer/irf_fifo/n841B %G(DVOY_INST/transfer/irf_fifo/n811A &F'DVOY_INST/transfer/irf_fifo/n921BW )H,DVOY_INST/transfer/irf_fifo/n920BX +F)FVOY_INST/transfer/irf_fifo/n887B9 .H1DVOY_INST/transfer/irf_fifo/n886B8 /G.GVOY_INST/transfer/irf_fifo/n919B_ 3F4DVOY_INST/transfer/irf_fifo/n851B HFFFVOY_INST/transfer/irf_fifo/n730B KFIFVOY_INST/transfer/irf_fifo/n868B NFLFVOY_INST/transfer/irf_fifo/n791A TGWDVOY_INST/transfer/irf_fifo/n780A YG\DVOY_INST/transfer/irf_fifo/n928Ba `G_GVOY_INST/transfer/irf_fifo/n927Bc aF_FVOY_INST/transfer/irf_fifo/n935Bh cGbGVOY_INST/transfer/irf_fifo/n934Bj dFbFVOY_INST/transfer/irf_fifo/n942Bo fGeGVOY_INST/transfer/iwf_din_reg_reg<0>/$1I13_Hint hHjDVOY_INST/transfer/iwf_din_reg_reg<10>/$1I13_Hint kHmDVOY_INST/transfer/iwf_din_reg_reg<12>/$1I13_Hint nHpDVOY_INST/transfer/iwf_din_reg_reg<14>/$1I13_Hint qHsDVOY_INST/transfer/iwf_din_reg_reg<16>/$1I13_Hint tHvDVOY_INST/transfer/iwf_din_reg_reg<18>/$1I13_Hint wHyDVOY_INST/transfer/iwf_din_reg_reg<20>/$1I13_Hint zH|DVOY_INST/transfer/iwf_din_reg_reg<22>/$1I13_Hint }HDVOY_INST/transfer/iwf_din_reg_reg<24>/$1I13_Hint HDVOY_INST/transfer/iwf_din_reg_reg<26>/$1I13_Hint HDVOY_INST/transfer/iwf_din_reg_reg<28>/$1I13_Hint HDVOY_INST/transfer/iwf_din_reg_reg<2>/$1I13_Hint HDVOY_INST/transfer/iwf_din_reg_reg<30>/$1I13_Hint HDVOY_INST/transfer/iwf_din_reg_reg<4>/$1I13_Hint HDVOY_INST/transfer/iwf_din_reg_reg<6>/$1I13_Hint HDVOY_INST/transfer/iwf_din_reg_reg<8>/$1I13_Hint HDVOY_INST/transfer/iwf_fifo/n1135A HDVOY_INST/transfer/iwf_fifo/n1134A GGVOY_INST/transfer/iwf_fifo/n1133A FFVOY_INST/transfer/iwf_fifo/n1010@ FDVOY_INST/transfer/iwf_fifo/n968@G GDVOY_INST/transfer/iwf_fifo/n1087@ HDVOY_INST/transfer/iwf_fifo/n1015@ GDVOY_INST/transfer/iwf_fifo/n1086@ FFVOY_INST/transfer/iwf_fifo/n971@R GDVOY_INST/transfer/iwf_fifo/n1097@ HDVOY_INST/transfer/iwf_fifo/n1020@ GDVOY_INST/transfer/iwf_fifo/n1096@ FFBEL_VOY_INST/transfer/iwf_dout<1>.RAMBB.OUT0 OUT0WEBEL_VOY_INST/transfer/iwf_dout<1>.RAMBB.OUT1 OUT1D1BEL_VOY_INST/transfer/iwf_dout<1>.RAMBB.OUT2 OUT2WEBEL_VOY_INST/transfer/iwf_dout<1>.RAMBB.OUT3 OUT3D0BEL_VOY_INST/transfer/iwf_dout<5>.RAMBB.OUT0 OUT0WEBEL_VOY_INST/transfer/iwf_dout<5>.RAMBB.OUT1 OUT1D1BEL_VOY_INST/transfer/iwf_dout<5>.RAMBB.OUT2 OUT2WEBEL_VOY_INST/transfer/iwf_dout<5>.RAMBB.OUT3 OUT3D0BEL_VOY_INST/transfer/iwf_dout<6>.RAMBB.OUT0 OUT0WEBEL_VOY_INST/transfer/iwf_dout<6>.RAMBB.OUT1 OUT1D1BEL_VOY_INST/transfer/iwf_dout<6>.RAMBB.OUT2 OUT2WEBEL_VOY_INST/transfer/iwf_dout<6>.RAMBB.OUT3 OUT3D0BEL_VOY_INST/transfer/iwf_dout<7>.RAMBB.OUT0 OUT0WEBEL_VOY_INST/transfer/iwf_dout<7>.RAMBB.OUT1 OUT1D1BEL_VOY_INST/transfer/iwf_dout<7>.RAMBB.OUT2 OUT2WEBEL_VOY_INST/transfer/iwf_dout<7>.RAMBB.OUT3 OUT3D0BEL_VOY_INST/transfer/iwf_dout<9>.RAMBB.OUT0 OUT0WEBEL_VOY_INST/transfer/iwf_dout<9>.RAMBB.OUT1 OUT1D1BEL_VOY_INST/transfer/iwf_dout<9>.RAMBB.OUT2 OUT2WEBEL_VOY_INST/transfer/iwf_dout<9>.RAMBB.OUT3 OUT3D0BEL_VOY_INST/transfer/iwf_dout<11>.RAMBB.OUT0 OUT0WEBEL_VOY_INST/transfer/iwf_dout<11>.RAMBB.OUT1 OUT1D1BEL_VOY_INST/transfer/iwf_dout<11>.RAMBB.OUT2 OUT2WEBEL_VOY_INST/transfer/iwf_dout<11>.RAMBB.OUT3 OUT3D0BEL_VOY_INST/transfer/iwf_dout<13>.RAMBB.OUT0 OUT0WEBEL_VOY_INST/transfer/iwf_dout<13>.RAMBB.OUT1 OUT1D1BEL_VOY_INST/transfer/iwf_dout<13>.RAMBB.OUT2 OUT2WEBEL_VOY_INST/transfer/iwf_dout<13>.RAMBB.OUT3 OUT3D0BEL_VOY_INST/transfer/iwf_dout<15>.RAMBB.OUT0 OUT0WEBEL_VOY_INST/transfer/iwf_dout<15>.RAMBB.OUT1 OUT1D1BEL_VOY_INST/transfer/iwf_dout<15>.RAMBB.OUT2 OUT2WEBEL_VOY_INST/transfer/iwf_dout<15>.RAMBB.OUT3 OUT3D0BEL_VOY_INST/transfer/iwf_dout<17>.RAMBB.OUT0 OUT0WEBEL_VOY_INST/transfer/iwf_dout<17>.RAMBB.OUT1 OUT1D1BEL_VOY_INST/transfer/iwf_dout<17>.RAMBB.OUT2 OUT2WEBEL_VOY_INST/transfer/iwf_dout<17>.RAMBB.OUT3 OUT3D0BEL_VOY_INST/transfer/iwf_dout<20>.RAMBB.OUT0 OUT0WEBEL_VOY_INST/transfer/iwf_dout<20>.RAMBB.OUT1 OUT1D1BEL_VOY_INST/transfer/iwf_dout<20>.RAMBB.OUT2 OUT2WEBEL_VOY_INST/transfer/iwf_dout<20>.RAMBB.OUT3 OUT3D0BEL_VOY_INST/transfer/iwf_dout<21>.RAMBB.OUT0 OUT0WEBEL_VOY_INST/transfer/iwf_dout<21>.RAMBB.OUT1 OUT1D1BEL_VOY_INST/transfer/iwf_dout<21>.RAMBB.OUT2 OUT2WEBEL_VOY_INST/transfer/iwf_dout<21>.RAMBB.OUT3 OUT3D0BEL_VOY_INST/transfer/iwf_dout<23>.RAMBB.OUT0 OUT0WEBEL_VOY_INST/transfer/iwf_dout<23>.RAMBB.OUT1 OUT1D1BEL_VOY_INST/transfer/iwf_dout<23>.RAMBB.OUT2 OUT2WEBEL_VOY_INST/transfer/iwf_dout<23>.RAMBB.OUT3 OUT3D0BEL_VOY_INST/transfer/iwf_dout<25>.RAMBB.OUT0 OUT0WEBEL_VOY_INST/transfer/iwf_dout<25>.RAMBB.OUT1 OUT1D1BEL_VOY_INST/transfer/iwf_dout<25>.RAMBB.OUT2 OUT2WEBEL_VOY_INST/transfer/iwf_dout<25>.RAMBB.OUT3 OUT3D0BEL_VOY_INST/transfer/iwf_dout<27>.RAMBB.OUT0 OUT0WEBEL_VOY_INST/transfer/iwf_dout<27>.RAMBB.OUT1 OUT1D1BEL_VOY_INST/transfer/iwf_dout<27>.RAMBB.OUT2 OUT2WEBEL_VOY_INST/transfer/iwf_dout<27>.RAMBB.OUT3 OUT3D0BEL_VOY_INST/transfer/iwf_dout<29>.RAMBB.OUT0 OUT0WEBEL_VOY_INST/transfer/iwf_dout<29>.RAMBB.OUT1 OUT1D1BEL_VOY_INST/transfer/iwf_dout<29>.RAMBB.OUT2 OUT2WEBEL_VOY_INST/transfer/iwf_dout<29>.RAMBB.OUT3 OUT3D0BEL_VOY_INST/transfer/iwf_dout<31>.RAMBB.OUT0 OUT0WEBEL_VOY_INST/transfer/iwf_dout<31>.RAMBB.OUT1 OUT1D1BEL_VOY_INST/transfer/iwf_dout<31>.RAMBB.OUT2 OUT2WEBEL_VOY_INST/transfer/iwf_dout<31>.RAMBB.OUT3 OUT3D0VOY_INST/transfer/iwf_fifo/n1072@ HDVOY_INST/transfer/iwf_fifo/n1071@ GGVOY_INST/transfer/iwf_fifo/n1106@ HDVOY_INST/transfer/iwf_fifo/n991@q GDVOY_INST/transfer/iwf_fifo/n1105@ FFVOY_INST/transfer/iwf_fifo/n1140A' HDVOY_INST/transfer/iwf_fifo/n1139A& GGVOY_INST/transfer/iwf_fifo/n1104A  G DVOY_INST/transfer/iwf_fifo/n822@  F FVOY_INST/transfer/iwf_fifo/n1046@  F FVOY_INST/transfer/iwf_fifo/n1113A  G GVOY_INST/transfer/iwf_fifo/n1112A  F FVOY_INST/transfer/iwf_fifo/n1120A  G GVOY_INST/transfer/iwf_fifo/n1119A  F FVOY_INST/transfer/iwf_fifo/n1127A  G GVOY_INST/transfer/laddr_reg_reg<11>_Hint  H !DVOY_INST/transfer/laddr_reg_reg<13>_Hint  "H &DVOY_INST/transfer/laddr_reg_reg<15>_Hint  'H +DVOY_INST/transfer/laddr_reg_reg<3>_Hint  ,H 0DVOY_INST/transfer/laddr_reg_reg<5>_Hint  1H 5DVOY_INST/transfer/laddr_reg_reg<7>_Hint  6H :DVOY_INST/transfer/laddr_reg_reg<9>_Hint  ;H ?DVOY_INST/transfer/n3253?5  EH HDVOY_INST/transfer/n3197>  JF KDVOY_INST/transfer/n3267?E  MG LGVOY_INST/transfer/n3266?D  NF LFVOY_INST/transfer/n3275?M  QG PGVOY_INST/transfer/read_state_shadow_reg<1>/$1I13_Hint  TH WDVOY_INST/transfer/n3210?  XG [DVOY_INST/transfer/n3206?  YF ZDVOY_INST/transfer/n3214?  \G _DVOY_INST/transfer/n3209?  ]F ^DVOY_INST/transfer/n3218?  `G cDVOY_INST/transfer/n3213?  aF bDVOY_INST/transfer/n3221?  dG gDVOY_INST/transfer/n3217?  eF fDVOY_INST/transfer/n3297?d  hH kDVOY_INST/transfer/n3295?f  iG hGVOY_INST/transfer/n3296?e  jF hFVOY_INST/transfer/irf_fifo/n824A  F DVOY_INST/transfer/n3184>  G DVOY_INST/transfer/n3203>  G DPCI_CORE/PCI_LC/DATA_VLD/NS_MDV_IN"c  G DVOY_INST/transfer/U1461/VOY_INST/transfer/iwf_load_Gint  G DVOY_INST/transfer/n3261?@  F FVOY_INST/transfer/n3281?S  G GVOY_INST/transfer/n3082>j  F FVOY_INST/transfer/n3289?Z  G GVOY_INST/transfer/n3292?c  G GVOY_INST/transfer/n3291?b  F FVOY_INST/transfer/write_state_shadow_reg<0>/$1I13_Hint  H DVOY_INST/transfer/n3305?k  H DVOY_INST/transfer/n3304?j  G GGLOBAL_LOGIC1_0 "(FXII"xIImm  MM ! "0"x"("0!"(!!M!M!!!5"(!5GLOBAL_LOGIC0_0  8FX 0D1C2D0' ` 0 8 `GLOBAL_LOGIC0_1 FXADC2qq%@%@GLOBAL_LOGIC0_2 $FX"xG3G3"h"x$P"h$$P