(edif introlab (edifVersion 2 0 0) (edifLevel 0) (keywordMap (keywordLevel 0)) (status (written (timestamp 1998 10 13 9 29 0) (author "ALDEC") (program "Aldec's EDIF Netlist Generator" (version "2.5.5.48")))) (library Active_lib (edifLevel 0) (technology (numberDefinition (scale 1 (E 1 -11) (unit TIME)))) (cell AND2 (cellType generic) (view net (viewType netlist ) (interface (port I0 (direction INPUT)) (port I1 (direction INPUT)) (port O (direction OUTPUT)) (property DEVICE (string "AND")) (property LEVEL (string "XILINX")) (property LIBVER (string "2.0.0"))))) (cell AND2B1 (cellType generic) (view net (viewType netlist ) (interface (port I0 (direction INPUT)) (port I1 (direction INPUT)) (port O (direction OUTPUT)) (property DEVICE (string "AND")) (property LEVEL (string "XILINX")) (property LIBVER (string "2.0.0"))))) (cell AND3 (cellType generic) (view net (viewType netlist ) (interface (port I0 (direction INPUT)) (port I1 (direction INPUT)) (port I2 (direction INPUT)) (port O (direction OUTPUT)) (property DEVICE (string "AND")) (property LEVEL (string "XILINX")) (property LIBVER (string "2.0.0"))))) (cell AND4 (cellType generic) (view net (viewType netlist ) (interface (port I0 (direction INPUT)) (port I1 (direction INPUT)) (port I2 (direction INPUT)) (port I3 (direction INPUT)) (port O (direction OUTPUT)) (property DEVICE (string "AND")) (property LEVEL (string "XILINX")) (property LIBVER (string "2.0.0"))))) (cell AND5 (cellType generic) (view net (viewType netlist ) (interface (port I0 (direction INPUT)) (port I1 (direction INPUT)) (port I2 (direction INPUT)) (port I3 (direction INPUT)) (port I4 (direction INPUT)) (port O (direction OUTPUT)) (property DEVICE (string "AND")) (property LEVEL (string "XILINX")) (property LIBVER (string "2.0.0"))))) (cell BUFG (cellType generic) (view net (viewType netlist ) (interface (port I (direction INPUT)) (port O (direction OUTPUT)) (property DEVICE (string "BUFG")) (property LEVEL (string "XILINX")) (property LIBVER (string "2.0.0"))))) (cell FDCE (cellType generic) (view net (viewType netlist ) (interface (port C (direction INPUT)) (port CE (direction INPUT)) (port CLR (direction INPUT)) (port D (direction INPUT)) (port Q (direction OUTPUT)) (property DEVICE (string "DFF")) (property INIT (string "R")) (property LEVEL (string "XILINX")) (property LIBVER (string "2.0.0"))))) (cell GND (cellType generic) (view net (viewType netlist ) (interface (port GROUND (direction OUTPUT)) (property LEVEL (string "XILINX")) (property LIBVER (string "2.0.0"))))) (cell IBUF (cellType generic) (view net (viewType netlist ) (interface (port I (direction INPUT)) (port O (direction OUTPUT)) (property DEVICE (string "IBUF")) (property LEVEL (string "XILINX")) (property LIBVER (string "2.0.0"))))) (cell IBUF8 (cellType generic) (view net (viewType netlist ) (interface (port (rename I0 "I<0>") (direction INPUT)) (port (rename I1 "I<1>") (direction INPUT)) (port (rename I2 "I<2>") (direction INPUT)) (port (rename I3 "I<3>") (direction INPUT)) (port (rename I4 "I<4>") (direction INPUT)) (port (rename I5 "I<5>") (direction INPUT)) (port (rename I6 "I<6>") (direction INPUT)) (port (rename I7 "I<7>") (direction INPUT)) (port (rename O0 "O<0>") (direction OUTPUT)) (port (rename O1 "O<1>") (direction OUTPUT)) (port (rename O2 "O<2>") (direction OUTPUT)) (port (rename O3 "O<3>") (direction OUTPUT)) (port (rename O4 "O<4>") (direction OUTPUT)) (port (rename O5 "O<5>") (direction OUTPUT)) (port (rename O6 "O<6>") (direction OUTPUT)) (port (rename O7 "O<7>") (direction OUTPUT)) (property LEVEL (string "MXILINX")) (property LIBVER (string "2.0.0"))) (contents (instance (rename &_1I30 "$1I30") (viewRef net (cellRef IBUF ))) (instance (rename &_1I31 "$1I31") (viewRef net (cellRef IBUF ))) (instance (rename &_1I32 "$1I32") (viewRef net (cellRef IBUF ))) (instance (rename &_1I33 "$1I33") (viewRef net (cellRef IBUF ))) (instance (rename &_1I34 "$1I34") (viewRef net (cellRef IBUF ))) (instance (rename &_1I35 "$1I35") (viewRef net (cellRef IBUF ))) (instance (rename &_1I36 "$1I36") (viewRef net (cellRef IBUF ))) (instance (rename &_1I37 "$1I37") (viewRef net (cellRef IBUF ))) (net (rename I0 "I<0>") (joined (portRef I0) (portRef I (instanceRef &_1I37)))) (net (rename I1 "I<1>") (joined (portRef I1) (portRef I (instanceRef &_1I36)))) (net (rename I2 "I<2>") (joined (portRef I2) (portRef I (instanceRef &_1I35)))) (net (rename I3 "I<3>") (joined (portRef I3) (portRef I (instanceRef &_1I34)))) (net (rename I4 "I<4>") (joined (portRef I4) (portRef I (instanceRef &_1I30)))) (net (rename I5 "I<5>") (joined (portRef I5) (portRef I (instanceRef &_1I31)))) (net (rename I6 "I<6>") (joined (portRef I6) (portRef I (instanceRef &_1I32)))) (net (rename I7 "I<7>") (joined (portRef I7) (portRef I (instanceRef &_1I33)))) (net (rename O0 "O<0>") (joined (portRef O0) (portRef O (instanceRef &_1I37)))) (net (rename O1 "O<1>") (joined (portRef O1) (portRef O (instanceRef &_1I36)))) (net (rename O2 "O<2>") (joined (portRef O2) (portRef O (instanceRef &_1I35)))) (net (rename O3 "O<3>") (joined (portRef O3) (portRef O (instanceRef &_1I34)))) (net (rename O4 "O<4>") (joined (portRef O4) (portRef O (instanceRef &_1I30)))) (net (rename O5 "O<5>") (joined (portRef O5) (portRef O (instanceRef &_1I31)))) (net (rename O6 "O<6>") (joined (portRef O6) (portRef O (instanceRef &_1I32)))) (net (rename O7 "O<7>") (joined (portRef O7) (portRef O (instanceRef &_1I33))))))) (cell IPAD (cellType generic) (view net (viewType netlist ) (interface (port IPAD (direction OUTPUT)) (property EXT (string "IPAD")) (property LEVEL (string "XILINX")) (property LIBVER (string "2.0.0"))))) (cell IPAD8 (cellType generic) (view net (viewType netlist ) (interface (port (rename I0 "I<0>") (direction OUTPUT)) (port (rename I1 "I<1>") (direction OUTPUT)) (port (rename I2 "I<2>") (direction OUTPUT)) (port (rename I3 "I<3>") (direction OUTPUT)) (port (rename I4 "I<4>") (direction OUTPUT)) (port (rename I5 "I<5>") (direction OUTPUT)) (port (rename I6 "I<6>") (direction OUTPUT)) (port (rename I7 "I<7>") (direction OUTPUT)) (property LEVEL (string "MXILINX")) (property LIBVER (string "2.0.0"))) (contents (instance &0 (viewRef net (cellRef IPAD )) (property EXT (string "IPAD"))) (instance &1 (viewRef net (cellRef IPAD )) (property EXT (string "IPAD"))) (instance &2 (viewRef net (cellRef IPAD )) (property EXT (string "IPAD"))) (instance &3 (viewRef net (cellRef IPAD )) (property EXT (string "IPAD"))) (instance &4 (viewRef net (cellRef IPAD )) (property EXT (string "IPAD"))) (instance &5 (viewRef net (cellRef IPAD )) (property EXT (string "IPAD"))) (instance &6 (viewRef net (cellRef IPAD )) (property EXT (string "IPAD"))) (instance &7 (viewRef net (cellRef IPAD )) (property EXT (string "IPAD"))) (net (rename I0 "I<0>") (joined (portRef I0) (portRef IPAD (instanceRef &0 )))) (net (rename I1 "I<1>") (joined (portRef I1) (portRef IPAD (instanceRef &1 )))) (net (rename I2 "I<2>") (joined (portRef I2) (portRef IPAD (instanceRef &2 )))) (net (rename I3 "I<3>") (joined (portRef I3) (portRef IPAD (instanceRef &3 )))) (net (rename I4 "I<4>") (joined (portRef I4) (portRef IPAD (instanceRef &4 )))) (net (rename I5 "I<5>") (joined (portRef I5) (portRef IPAD (instanceRef &5 )))) (net (rename I6 "I<6>") (joined (portRef I6) (portRef IPAD (instanceRef &6 )))) (net (rename I7 "I<7>") (joined (portRef I7) (portRef IPAD (instanceRef &7 ))))))) (cell OBUF (cellType generic) (view net (viewType netlist ) (interface (port I (direction INPUT)) (port O (direction OUTPUT)) (property DEVICE (string "OBUF")) (property LEVEL (string "XILINX")) (property LIBVER (string "2.0.0"))))) (cell OBUF8 (cellType generic) (view net (viewType netlist ) (interface (port (rename I0 "I<0>") (direction INPUT)) (port (rename I1 "I<1>") (direction INPUT)) (port (rename I2 "I<2>") (direction INPUT)) (port (rename I3 "I<3>") (direction INPUT)) (port (rename I4 "I<4>") (direction INPUT)) (port (rename I5 "I<5>") (direction INPUT)) (port (rename I6 "I<6>") (direction INPUT)) (port (rename I7 "I<7>") (direction INPUT)) (port (rename O0 "O<0>") (direction OUTPUT)) (port (rename O1 "O<1>") (direction OUTPUT)) (port (rename O2 "O<2>") (direction OUTPUT)) (port (rename O3 "O<3>") (direction OUTPUT)) (port (rename O4 "O<4>") (direction OUTPUT)) (port (rename O5 "O<5>") (direction OUTPUT)) (port (rename O6 "O<6>") (direction OUTPUT)) (port (rename O7 "O<7>") (direction OUTPUT)) (property LEVEL (string "MXILINX")) (property LIBVER (string "2.0.0"))) (contents (instance (rename &_1I30 "$1I30") (viewRef net (cellRef OBUF ))) (instance (rename &_1I31 "$1I31") (viewRef net (cellRef OBUF ))) (instance (rename &_1I32 "$1I32") (viewRef net (cellRef OBUF ))) (instance (rename &_1I33 "$1I33") (viewRef net (cellRef OBUF ))) (instance (rename &_1I34 "$1I34") (viewRef net (cellRef OBUF ))) (instance (rename &_1I35 "$1I35") (viewRef net (cellRef OBUF ))) (instance (rename &_1I36 "$1I36") (viewRef net (cellRef OBUF ))) (instance (rename &_1I37 "$1I37") (viewRef net (cellRef OBUF ))) (net (rename I0 "I<0>") (joined (portRef I0) (portRef I (instanceRef &_1I30)))) (net (rename I1 "I<1>") (joined (portRef I1) (portRef I (instanceRef &_1I31)))) (net (rename I2 "I<2>") (joined (portRef I2) (portRef I (instanceRef &_1I32)))) (net (rename I3 "I<3>") (joined (portRef I3) (portRef I (instanceRef &_1I33)))) (net (rename I4 "I<4>") (joined (portRef I4) (portRef I (instanceRef &_1I37)))) (net (rename I5 "I<5>") (joined (portRef I5) (portRef I (instanceRef &_1I36)))) (net (rename I6 "I<6>") (joined (portRef I6) (portRef I (instanceRef &_1I35)))) (net (rename I7 "I<7>") (joined (portRef I7) (portRef I (instanceRef &_1I34)))) (net (rename O0 "O<0>") (joined (portRef O0) (portRef O (instanceRef &_1I30)))) (net (rename O1 "O<1>") (joined (portRef O1) (portRef O (instanceRef &_1I31)))) (net (rename O2 "O<2>") (joined (portRef O2) (portRef O (instanceRef &_1I32)))) (net (rename O3 "O<3>") (joined (portRef O3) (portRef O (instanceRef &_1I33)))) (net (rename O4 "O<4>") (joined (portRef O4) (portRef O (instanceRef &_1I37)))) (net (rename O5 "O<5>") (joined (portRef O5) (portRef O (instanceRef &_1I36)))) (net (rename O6 "O<6>") (joined (portRef O6) (portRef O (instanceRef &_1I35)))) (net (rename O7 "O<7>") (joined (portRef O7) (portRef O (instanceRef &_1I34))))))) (cell OPAD (cellType generic) (view net (viewType netlist ) (interface (port OPAD (direction INPUT)) (property EXT (string "OPAD")) (property LEVEL (string "XILINX")) (property LIBVER (string "2.0.0"))))) (cell OPAD8 (cellType generic) (view net (viewType netlist ) (interface (port (rename O0 "O<0>") (direction INPUT)) (port (rename O1 "O<1>") (direction INPUT)) (port (rename O2 "O<2>") (direction INPUT)) (port (rename O3 "O<3>") (direction INPUT)) (port (rename O4 "O<4>") (direction INPUT)) (port (rename O5 "O<5>") (direction INPUT)) (port (rename O6 "O<6>") (direction INPUT)) (port (rename O7 "O<7>") (direction INPUT)) (property LEVEL (string "MXILINX")) (property LIBVER (string "2.0.0"))) (contents (instance (rename &_1I60 "$1I60") (viewRef net (cellRef OPAD )) (property EXT (string "OPAD"))) (instance (rename &_1I61 "$1I61") (viewRef net (cellRef OPAD )) (property EXT (string "OPAD"))) (instance (rename &_1I62 "$1I62") (viewRef net (cellRef OPAD )) (property EXT (string "OPAD"))) (instance (rename &_1I63 "$1I63") (viewRef net (cellRef OPAD )) (property EXT (string "OPAD"))) (instance (rename &_1I64 "$1I64") (viewRef net (cellRef OPAD )) (property EXT (string "OPAD"))) (instance (rename &_1I65 "$1I65") (viewRef net (cellRef OPAD )) (property EXT (string "OPAD"))) (instance (rename &_1I66 "$1I66") (viewRef net (cellRef OPAD )) (property EXT (string "OPAD"))) (instance (rename &_1I67 "$1I67") (viewRef net (cellRef OPAD )) (property EXT (string "OPAD"))) (net (rename O0 "O<0>") (joined (portRef O0) (portRef OPAD (instanceRef &_1I60)))) (net (rename O1 "O<1>") (joined (portRef O1) (portRef OPAD (instanceRef &_1I61)))) (net (rename O2 "O<2>") (joined (portRef O2) (portRef OPAD (instanceRef &_1I62)))) (net (rename O3 "O<3>") (joined (portRef O3) (portRef OPAD (instanceRef &_1I63)))) (net (rename O4 "O<4>") (joined (portRef O4) (portRef OPAD (instanceRef &_1I64)))) (net (rename O5 "O<5>") (joined (portRef O5) (portRef OPAD (instanceRef &_1I65)))) (net (rename O6 "O<6>") (joined (portRef O6) (portRef OPAD (instanceRef &_1I66)))) (net (rename O7 "O<7>") (joined (portRef O7) (portRef OPAD (instanceRef &_1I67))))))) (cell OR2 (cellType generic) (view net (viewType netlist ) (interface (port I0 (direction INPUT)) (port I1 (direction INPUT)) (port O (direction OUTPUT)) (property DEVICE (string "OR")) (property LEVEL (string "XILINX")) (property LIBVER (string "2.0.0"))))) (cell VCC (cellType generic) (view net (viewType netlist ) (interface (port VCC (direction OUTPUT)) (property LEVEL (string "XILINX")) (property LIBVER (string "2.0.0"))))) (cell XOR2 (cellType generic) (view net (viewType netlist ) (interface (port I0 (direction INPUT)) (port I1 (direction INPUT)) (port O (direction OUTPUT)) (property DEVICE (string "XOR")) (property LEVEL (string "XILINX")) (property LIBVER (string "2.0.0"))))) (cell FD (cellType generic) (view net (viewType netlist ) (interface (port C (direction INPUT)) (port D (direction INPUT)) (port Q (direction OUTPUT)) (property LEVEL (string "MXILINX")) (property LIBVER (string "2.0.0"))) (contents (instance (rename &_1I37 "$1I37") (viewRef net (cellRef FDCE )) (property INIT (string "R")) (property RLOC (string "R0C0"))) (instance (rename &_1I40 "$1I40") (viewRef net (cellRef VCC ))) (instance (rename &_1I43 "$1I43") (viewRef net (cellRef GND ))) (net (rename &_Net01050_ "$Net01050_") (joined (portRef VCC (instanceRef &_1I40)) (portRef CE (instanceRef &_1I37)))) (net (rename &_Net01051_ "$Net01051_") (joined (portRef GROUND (instanceRef &_1I43)) (portRef CLR (instanceRef &_1I37)))) (net C (joined (portRef C ) (portRef C (instanceRef &_1I37)))) (net D (joined (portRef D ) (portRef D (instanceRef &_1I37)))) (net Q (joined (portRef Q ) (portRef Q (instanceRef &_1I37))))))) (cell M2_1 (cellType generic) (view net (viewType netlist ) (interface (port D0 (direction INPUT)) (port D1 (direction INPUT)) (port O (direction OUTPUT)) (port S0 (direction INPUT)) (property LEVEL (string "MXILINX")) (property LIBVER (string "2.0.0"))) (contents (instance (rename &_1I7 "$1I7") (viewRef net (cellRef AND2B1 )) (portinstance I0 (property PARAM (string "INV")))) (instance (rename &_1I8 "$1I8") (viewRef net (cellRef OR2 ))) (instance (rename &_1I9 "$1I9") (viewRef net (cellRef AND2 ))) (net D0 (joined (portRef D0 ) (portRef I1 (instanceRef &_1I7)))) (net D1 (joined (portRef D1 ) (portRef I0 (instanceRef &_1I9)))) (net M0 (joined (portRef O (instanceRef &_1I7)) (portRef I1 (instanceRef &_1I8)))) (net M1 (joined (portRef O (instanceRef &_1I9)) (portRef I0 (instanceRef &_1I8)))) (net O (joined (portRef O ) (portRef O (instanceRef &_1I8)))) (net S0 (joined (portRef S0 ) (portRef I1 (instanceRef &_1I9)) (portRef I0 (instanceRef &_1I7))))))) (cell FTCLEX (cellType generic) (view net (viewType netlist ) (interface (port C (direction INPUT)) (port CE (direction INPUT)) (port CLR (direction INPUT)) (port D (direction INPUT)) (port L (direction INPUT)) (port Q (direction OUTPUT)) (port T (direction INPUT)) (property LEVEL (string "MXILINX")) (property LIBVER (string "2.0.0"))) (contents (instance (rename &_1I30 "$1I30") (viewRef net (cellRef M2_1 ))) (instance (rename &_1I32 "$1I32") (viewRef net (cellRef XOR2 ))) (instance (rename &_1I35 "$1I35") (viewRef net (cellRef FDCE )) (property INIT (string "R")) (property RLOC (string "R0C0"))) (net C (joined (portRef C ) (portRef C (instanceRef &_1I35)))) (net CE (joined (portRef CE ) (portRef CE (instanceRef &_1I35)))) (net CLR (joined (portRef CLR ) (portRef CLR (instanceRef &_1I35)))) (net D (joined (portRef D ) (portRef D1 (instanceRef &_1I30)))) (net L (joined (portRef L ) (portRef S0 (instanceRef &_1I30)))) (net MD (joined (portRef O (instanceRef &_1I30)) (portRef D (instanceRef &_1I35)))) (net Q (joined (portRef Q ) (portRef Q (instanceRef &_1I35)) (portRef I1 (instanceRef &_1I32)))) (net T (joined (portRef T ) (portRef I0 (instanceRef &_1I32)))) (net TQ (joined (portRef O (instanceRef &_1I32)) (portRef D0 (instanceRef &_1I30))))))) (cell CB8CLE (cellType generic) (view net (viewType netlist ) (interface (port C (direction INPUT)) (port CE (direction INPUT)) (port CEO (direction OUTPUT)) (port CLR (direction INPUT)) (port (rename D0 "D<0>") (direction INPUT)) (port (rename D1 "D<1>") (direction INPUT)) (port (rename D2 "D<2>") (direction INPUT)) (port (rename D3 "D<3>") (direction INPUT)) (port (rename D4 "D<4>") (direction INPUT)) (port (rename D5 "D<5>") (direction INPUT)) (port (rename D6 "D<6>") (direction INPUT)) (port (rename D7 "D<7>") (direction INPUT)) (port L (direction INPUT)) (port (rename Q0 "Q<0>") (direction OUTPUT)) (port (rename Q1 "Q<1>") (direction OUTPUT)) (port (rename Q2 "Q<2>") (direction OUTPUT)) (port (rename Q3 "Q<3>") (direction OUTPUT)) (port (rename Q4 "Q<4>") (direction OUTPUT)) (port (rename Q5 "Q<5>") (direction OUTPUT)) (port (rename Q6 "Q<6>") (direction OUTPUT)) (port (rename Q7 "Q<7>") (direction OUTPUT)) (port TC (direction OUTPUT)) (property LEVEL (string "MXILINX")) (property LIBVER (string "2.0.1"))) (contents (instance (rename &_1I11 "$1I11") (viewRef net (cellRef AND2 ))) (instance (rename &_1I12 "$1I12") (viewRef net (cellRef VCC ))) (instance (rename &_1I19 "$1I19") (viewRef net (cellRef AND2 ))) (instance (rename &_1I21 "$1I21") (viewRef net (cellRef AND3 ))) (instance (rename &_1I23 "$1I23") (viewRef net (cellRef AND4 ))) (instance (rename &_1I25 "$1I25") (viewRef net (cellRef AND4 ))) (instance (rename &_1I29 "$1I29") (viewRef net (cellRef AND5 ))) (instance (rename &_1I33 "$1I33") (viewRef net (cellRef AND2 ))) (instance (rename &_1I49 "$1I49") (viewRef net (cellRef OR2 ))) (instance (rename &_1I8 "$1I8") (viewRef net (cellRef AND3 ))) (instance Q0 (viewRef net (cellRef FTCLEX ))) (instance Q1 (viewRef net (cellRef FTCLEX ))) (instance Q2 (viewRef net (cellRef FTCLEX ))) (instance Q3 (viewRef net (cellRef FTCLEX ))) (instance Q4 (viewRef net (cellRef FTCLEX ))) (instance Q5 (viewRef net (cellRef FTCLEX ))) (instance Q6 (viewRef net (cellRef FTCLEX ))) (instance Q7 (viewRef net (cellRef FTCLEX ))) (net (rename &_Net00349_ "$Net00349_") (joined (portRef VCC (instanceRef &_1I12)) (portRef T (instanceRef Q0 )))) (net C (joined (portRef C ) (portRef C (instanceRef Q7 )) (portRef C (instanceRef Q6 )) (portRef C (instanceRef Q5 )) (portRef C (instanceRef Q4 )) (portRef C (instanceRef Q3 )) (portRef C (instanceRef Q1 )) (portRef C (instanceRef Q0 )) (portRef C (instanceRef Q2 )))) (net CE (joined (portRef CE ) (portRef I0 (instanceRef &_1I33)) (portRef I0 (instanceRef &_1I49)))) (net CEO (joined (portRef CEO ) (portRef O (instanceRef &_1I33)))) (net CLR (joined (portRef CLR ) (portRef CLR (instanceRef Q7 )) (portRef CLR (instanceRef Q4 )) (portRef CLR (instanceRef Q2 )) (portRef CLR (instanceRef Q1 )) (portRef CLR (instanceRef Q0 )) (portRef CLR (instanceRef Q3 )) (portRef CLR (instanceRef Q5 )) (portRef CLR (instanceRef Q6 )))) (net (rename D0 "D<0>") (joined (portRef D0) (portRef D (instanceRef Q0 )))) (net (rename D1 "D<1>") (joined (portRef D1) (portRef D (instanceRef Q1 )))) (net (rename D2 "D<2>") (joined (portRef D2) (portRef D (instanceRef Q2 )))) (net (rename D3 "D<3>") (joined (portRef D3) (portRef D (instanceRef Q3 )))) (net (rename D4 "D<4>") (joined (portRef D4) (portRef D (instanceRef Q4 )))) (net (rename D5 "D<5>") (joined (portRef D5) (portRef D (instanceRef Q5 )))) (net (rename D6 "D<6>") (joined (portRef D6) (portRef D (instanceRef Q6 )))) (net (rename D7 "D<7>") (joined (portRef D7) (portRef D (instanceRef Q7 )))) (net L (joined (portRef L ) (portRef I1 (instanceRef &_1I49)) (portRef L (instanceRef Q7 )) (portRef L (instanceRef Q6 )) (portRef L (instanceRef Q5 )) (portRef L (instanceRef Q4 )) (portRef L (instanceRef Q3 )) (portRef L (instanceRef Q2 )) (portRef L (instanceRef Q1 )) (portRef L (instanceRef Q0 )))) (net OR_CE_L (joined (portRef O (instanceRef &_1I49)) (portRef CE (instanceRef Q7 )) (portRef CE (instanceRef Q2 )) (portRef CE (instanceRef Q0 )) (portRef CE (instanceRef Q1 )) (portRef CE (instanceRef Q3 )) (portRef CE (instanceRef Q4 )) (portRef CE (instanceRef Q5 )) (portRef CE (instanceRef Q6 )))) (net (rename Q0 "Q<0>") (joined (portRef Q0) (portRef Q (instanceRef Q0 )) (portRef T (instanceRef Q1 )) (portRef I1 (instanceRef &_1I19)) (portRef I3 (instanceRef &_1I23)) (portRef I2 (instanceRef &_1I21)))) (net (rename Q1 "Q<1>") (joined (portRef Q1) (portRef Q (instanceRef Q1 )) (portRef I0 (instanceRef &_1I19)) (portRef I2 (instanceRef &_1I23)) (portRef I1 (instanceRef &_1I21)))) (net (rename Q2 "Q<2>") (joined (portRef Q2) (portRef Q (instanceRef Q2 )) (portRef I1 (instanceRef &_1I23)) (portRef I0 (instanceRef &_1I21)))) (net (rename Q3 "Q<3>") (joined (portRef Q3) (portRef Q (instanceRef Q3 )) (portRef I0 (instanceRef &_1I23)))) (net (rename Q4 "Q<4>") (joined (portRef Q4) (portRef Q (instanceRef Q4 )) (portRef I0 (instanceRef &_1I11)) (portRef I1 (instanceRef &_1I8)) (portRef I3 (instanceRef &_1I29)) (portRef I2 (instanceRef &_1I25)))) (net (rename Q5 "Q<5>") (joined (portRef Q5) (portRef Q (instanceRef Q5 )) (portRef I0 (instanceRef &_1I8)) (portRef I2 (instanceRef &_1I29)) (portRef I1 (instanceRef &_1I25)))) (net (rename Q6 "Q<6>") (joined (portRef Q6) (portRef Q (instanceRef Q6 )) (portRef I1 (instanceRef &_1I29)) (portRef I0 (instanceRef &_1I25)))) (net (rename Q7 "Q<7>") (joined (portRef Q7) (portRef Q (instanceRef Q7 )) (portRef I0 (instanceRef &_1I29)))) (net T2 (joined (portRef O (instanceRef &_1I19)) (portRef T (instanceRef Q2 )))) (net T3 (joined (portRef O (instanceRef &_1I21)) (portRef T (instanceRef Q3 )))) (net T4 (joined (portRef O (instanceRef &_1I23)) (portRef T (instanceRef Q4 )) (portRef I1 (instanceRef &_1I11)) (portRef I2 (instanceRef &_1I8)) (portRef I3 (instanceRef &_1I25)) (portRef I4 (instanceRef &_1I29)))) (net T5 (joined (portRef O (instanceRef &_1I11)) (portRef T (instanceRef Q5 )))) (net T6 (joined (portRef O (instanceRef &_1I8)) (portRef T (instanceRef Q6 )))) (net T7 (joined (portRef O (instanceRef &_1I25)) (portRef T (instanceRef Q7 )))) (net TC (joined (portRef TC ) (portRef I1 (instanceRef &_1I33)) (portRef O (instanceRef &_1I29))))))) (cell introlab (cellType generic) (view net (viewType netlist ) (interface) (contents (instance (rename &_I1 "$I1") (viewRef net (cellRef IPAD )) (property EXT (string "IPAD"))) (instance (rename &_I10 "$I10") (viewRef net (cellRef IBUF8 ))) (instance (rename &_I11 "$I11") (viewRef net (cellRef OBUF8 ))) (instance (rename &_I12 "$I12") (viewRef net (cellRef OBUF ))) (instance (rename &_I13 "$I13") (viewRef net (cellRef OBUF ))) (instance (rename &_I14 "$I14") (viewRef net (cellRef OPAD )) (property EXT (string "OPAD"))) (instance (rename &_I15 "$I15") (viewRef net (cellRef OPAD )) (property EXT (string "OPAD"))) (instance (rename &_I16 "$I16") (viewRef net (cellRef IPAD )) (property EXT (string "IPAD"))) (instance (rename &_I17 "$I17") (viewRef net (cellRef IPAD )) (property EXT (string "IPAD"))) (instance (rename &_I18 "$I18") (viewRef net (cellRef IBUF ))) (instance (rename &_I19 "$I19") (viewRef net (cellRef IBUF ))) (instance (rename &_I2 "$I2") (viewRef net (cellRef IBUF ))) (instance (rename &_I20 "$I20") (viewRef net (cellRef IPAD )) (property EXT (string "IPAD"))) (instance (rename &_I21 "$I21") (viewRef net (cellRef IBUF ))) (instance (rename &_I22 "$I22") (viewRef net (cellRef IPAD )) (property EXT (string "IPAD"))) (instance (rename &_I25 "$I25") (viewRef net (cellRef CB8CLE ))) (instance (rename &_I3 "$I3") (viewRef net (cellRef BUFG ))) (instance (rename &_I5 "$I5") (viewRef net (cellRef FD ))) (instance (rename &_I6 "$I6") (viewRef net (cellRef AND2 ))) (instance (rename &_I8 "$I8") (viewRef net (cellRef IPAD8 ))) (instance (rename &_I9 "$I9") (viewRef net (cellRef OPAD8 ))) (net (rename PRESET7 "PRESET<7>") (joined (portRef I7 (instanceRef &_I8)) (portRef I7 (instanceRef &_I10)))) (net (rename PRESET6 "PRESET<6>") (joined (portRef I6 (instanceRef &_I8)) (portRef I6 (instanceRef &_I10)))) (net (rename PRESET5 "PRESET<5>") (joined (portRef I5 (instanceRef &_I8)) (portRef I5 (instanceRef &_I10)))) (net (rename PRESET4 "PRESET<4>") (joined (portRef I4 (instanceRef &_I8)) (portRef I4 (instanceRef &_I10)))) (net (rename PRESET3 "PRESET<3>") (joined (portRef I3 (instanceRef &_I8)) (portRef I3 (instanceRef &_I10)))) (net (rename PRESET2 "PRESET<2>") (joined (portRef I2 (instanceRef &_I8)) (portRef I2 (instanceRef &_I10)))) (net (rename PRESET1 "PRESET<1>") (joined (portRef I1 (instanceRef &_I8)) (portRef I1 (instanceRef &_I10)))) (net (rename PRESET0 "PRESET<0>") (joined (portRef I0 (instanceRef &_I8)) (portRef I0 (instanceRef &_I10)))) (net (rename PS7 "PS<7>") (joined (portRef O7 (instanceRef &_I10)) (portRef D7 (instanceRef &_I25)))) (net (rename PS6 "PS<6>") (joined (portRef O6 (instanceRef &_I10)) (portRef D6 (instanceRef &_I25)))) (net (rename PS5 "PS<5>") (joined (portRef O5 (instanceRef &_I10)) (portRef D5 (instanceRef &_I25)))) (net (rename PS4 "PS<4>") (joined (portRef O4 (instanceRef &_I10)) (portRef D4 (instanceRef &_I25)))) (net (rename PS3 "PS<3>") (joined (portRef O3 (instanceRef &_I10)) (portRef D3 (instanceRef &_I25)))) (net (rename PS2 "PS<2>") (joined (portRef O2 (instanceRef &_I10)) (portRef D2 (instanceRef &_I25)))) (net (rename PS1 "PS<1>") (joined (portRef O1 (instanceRef &_I10)) (portRef D1 (instanceRef &_I25)))) (net (rename PS0 "PS<0>") (joined (portRef O0 (instanceRef &_I10)) (portRef D0 (instanceRef &_I25)))) (net (rename CNT7 "CNT<7>") (joined (portRef Q7 (instanceRef &_I25)) (portRef I7 (instanceRef &_I11)))) (net (rename CNT6 "CNT<6>") (joined (portRef Q6 (instanceRef &_I25)) (portRef I6 (instanceRef &_I11)))) (net (rename CNT5 "CNT<5>") (joined (portRef Q5 (instanceRef &_I25)) (portRef I5 (instanceRef &_I11)))) (net (rename CNT4 "CNT<4>") (joined (portRef Q4 (instanceRef &_I25)) (portRef I4 (instanceRef &_I11)))) (net (rename CNT3 "CNT<3>") (joined (portRef Q3 (instanceRef &_I25)) (portRef I3 (instanceRef &_I11)))) (net (rename CNT2 "CNT<2>") (joined (portRef Q2 (instanceRef &_I25)) (portRef I2 (instanceRef &_I11)))) (net (rename CNT1 "CNT<1>") (joined (portRef Q1 (instanceRef &_I25)) (portRef I1 (instanceRef &_I11)))) (net (rename CNT0 "CNT<0>") (joined (portRef Q0 (instanceRef &_I25)) (portRef I0 (instanceRef &_I11)))) (net (rename COUNT7 "COUNT<7>") (joined (portRef O7 (instanceRef &_I11)) (portRef O7 (instanceRef &_I9)))) (net (rename COUNT6 "COUNT<6>") (joined (portRef O6 (instanceRef &_I11)) (portRef O6 (instanceRef &_I9)))) (net (rename COUNT5 "COUNT<5>") (joined (portRef O5 (instanceRef &_I11)) (portRef O5 (instanceRef &_I9)))) (net (rename COUNT4 "COUNT<4>") (joined (portRef O4 (instanceRef &_I11)) (portRef O4 (instanceRef &_I9)))) (net (rename COUNT3 "COUNT<3>") (joined (portRef O3 (instanceRef &_I11)) (portRef O3 (instanceRef &_I9)))) (net (rename COUNT2 "COUNT<2>") (joined (portRef O2 (instanceRef &_I11)) (portRef O2 (instanceRef &_I9)))) (net (rename COUNT1 "COUNT<1>") (joined (portRef O1 (instanceRef &_I11)) (portRef O1 (instanceRef &_I9)))) (net (rename COUNT0 "COUNT<0>") (joined (portRef O0 (instanceRef &_I11)) (portRef O0 (instanceRef &_I9)))) (net CLK (joined (portRef O (instanceRef &_I3)) (portRef C (instanceRef &_I25)) (portRef C (instanceRef &_I5)))) (net (rename &_Net00002_ "$Net00002_") (joined (portRef O (instanceRef &_I2)) (portRef D (instanceRef &_I5)))) (net (rename &_Net00003_ "$Net00003_") (joined (portRef Q (instanceRef &_I5)) (portRef I1 (instanceRef &_I6)))) (net LD (joined (portRef O (instanceRef &_I6)) (portRef L (instanceRef &_I25)))) (net LOAD_A (joined (portRef IPAD (instanceRef &_I1)) (portRef I (instanceRef &_I2)))) (net (rename &_Net00006_ "$Net00006_") (joined (portRef CEO (instanceRef &_I25)) (portRef I (instanceRef &_I12)))) (net (rename &_Net00007_ "$Net00007_") (joined (portRef O (instanceRef &_I12)) (portRef OPAD (instanceRef &_I14)))) (net (rename &_Net00008_ "$Net00008_") (joined (portRef TC (instanceRef &_I25)) (portRef I (instanceRef &_I13)))) (net (rename &_Net00009_ "$Net00009_") (joined (portRef O (instanceRef &_I13)) (portRef OPAD (instanceRef &_I15)))) (net CLOCK (joined (portRef IPAD (instanceRef &_I20)) (portRef I (instanceRef &_I3)))) (net COUNT_ENABLE (joined (portRef IPAD (instanceRef &_I16)) (portRef I (instanceRef &_I18)))) (net RESET (joined (portRef IPAD (instanceRef &_I17)) (portRef I (instanceRef &_I19)))) (net CE (joined (portRef O (instanceRef &_I18)) (portRef CE (instanceRef &_I25)))) (net RST (joined (portRef O (instanceRef &_I19)) (portRef CLR (instanceRef &_I25)))) (net LOAD_B (joined (portRef IPAD (instanceRef &_I22)) (portRef I (instanceRef &_I21)))) (net (rename &_Net00004_ "$Net00004_") (joined (portRef O (instanceRef &_I21)) (portRef I0 (instanceRef &_I6)))))))) (design introlab (cellRef introlab (libraryRef Active_lib )) (property PART (string "4002XLPC84-09"))))