Loading device database for application Bitgen from file "map_lab.ncd". "map_lab" is an NCD, version 2.27, device xc4002xl, package pc84, speed -09 Loading device for application Bitgen from file '4002xl.nph' in environment d:/xilinx. Opened constraints file map_lab.pcf. BITGEN: Xilinx Bitstream Generator M1.5.19 Copyright (c) 1995-1998 Xilinx, Inc. All rights reserved. Tue Oct 06 16:07:14 1998 bitgen -l -w -g ConfigRate:SLOW -g TdoPin:PULLNONE -g M1Pin:PULLNONE -g DonePin:PULLUP -g CRC:enable -g StartUpClk:CCLK -g SyncToDone:no -g DoneActive:C1 -g OutputsActive:C3 -g GSRInactive:C4 -g ReadClk:CCLK -g ReadCapture:enable -g ReadAbort:disable -g M0Pin:PULLNONE -g M2Pin:PULLNONE map_lab.ncd Running DRC. DRC detected 0 errors and 0 warnings. Saving ll file in "map_lab.ll". Creating bit map... Saving bit stream in "map_lab.bit".