![]() |
|
![]() |
|
Answers Database
Foundation XVHDL: how to use the OSC4 oscillator
Record #1556
Product Family: Software architecture OSC4_ARCH of OSC4TEST is
component OSC4 -- This example only uses the 500kHz output
port(F500K: out std_logic);
end component;
signal CLK: std_logic; begin U1: OSC4 port map (F500K => CLK); process (CLK) begin if (CLK'event and CLK = '1') then OUTDATA <= DATA; end if; end process; end OSC4_ARCH; End of Record #1556 - Last Modified: 01/03/00 11:06 |
| For the latest news, design tips, and patch information on the Xilinx design environment, check out the Technical Tips! |