Answers Database
JTAG - Using the TDO pin as a user output on XC4000 based devices (HDL example)
Record #8292
Product Family: Software
Product Line: FPGA Implementation
Product Part: ngdbuild
Product Version: 2.1is3
Problem Title:
JTAG - Using the TDO pin as a user output on XC4000 based devices (HDL example)
Problem Description:
Urgency: Standard
General Description:
How to use the TDO pin as user output.
Solution 1:
To use TDO as user output, you must instantiate the
TDO pin. Do not just lock an output to the TDO pin - this
will cause an error in NGDBUILD.
Solution 2:
-- Using the TDO pin as user output
-- Example code of how to instantiate TDO
-- To be used as a guide only
library IEEE;
use IEEE.std_logic_1164.all;
entity test_tdo is
port (D_IN, D_IN2, CLK: in std_logic;
Q_OUT: out std_logic);
end test_tdo;
architecture xilinx of test_tdo is
component obuf
port(i: in std_logic; o: out std_logic);
end component;
component TDO
port ( O : in std_logic );
end component;
signal TDO_INT, TDO_P : STD_LOGIC;
begin
TDO_Inst: TDO port map (O => TDO_P);
OBUF_Inst: obuf port map(i=>TDO_INT, o=>TDO_P);
-- User logic here
process (CLK)
begin
if (CLK'event and CLK='1') then
Q_OUT <= D_IN;
TDO_INT <= D_IN and D_IN2;
end if;
end process;
end xilinx;
End of Record #8292 - Last Modified: 01/11/00 20:57 |