HWP Document File V3.00 L":'NN''ewaAa. e VHDLiG)ccccewaAa. e VHDLi aaС i ae aqa. abi ae aq VHD1999e 6 3 a, 19 18W{pTe?yM4:HGoQޮJOUfq\u[-+ ًx{>OeW,N 7ߊO39t:󸫯_2췾E,EV^s%--F9_oZ8URn[#KaĚ>H5Cp*[xSK앆w;3s YwfX|RS-Sk<z?{a!z B}_+%D]%} h=jqDe=F|X `9xCAݍ^K<TNmkZ=P~3x]5}oD#'Tk_unG z*M hWŽ Vk:z ǃ(N]_m 9B%rVgŰ^r54+@~sRGV%idN+]~R =2-΀+&ْiū-f p A\RUp,{(7d\K Φ2Tj N.Wc X/xu^}7 _#sc*y#]u/NZ۹Qs_Uq#[x l "7+LT(56ABߚ=_/R%'s@ۣ WҬzPk5ⵝZJQF^1JG]b&,˟c{!e.MNW}\A6z ¸چs= khO=xQtISZuA@:~ Z5UA/  DR7F,Xڕ4[J-[y\Tx]ڼ/*4 z#1Lldfa-LlI C^av _ӳ"9jxǰ6*5# Xp'qV=Q ĪX_zmp2g r?F+Ab`*@ R/5*LL;&Nmp v;(eի `{s/R k}(&r3DktγZk[ J~jC])-p^NQu}#//qt`g<7CݷPv&Z ^7`k)te+^sanby,38~-˰,kŲh$ˢN,TˢlYt([G2⥿grQfgZvy,ˢG¢&uW\l+f?»؃'.G][S{g<5Dzs->3ϲE}kXFX4B.$0 Ka *pI}6 9f-3rɐ iO E+f,aU([!6`+{V5>/LF rf8:'xV};6dnIB.z0MA 2 K1+a=l5lp_ s1*ǂZX5H Vl97X: ,KhSp] rdU vDҍ[&wDZV؂+031mK2d R s̄61gu(\mf8x/mɗxIlS"A0M) 4irvHtC bj0N#gZ9QDJۈ+ډ9nùg&#h :tj*!VkfJ *:WQzI@ØL~XY@0$z/%E8s & 'g(x̬]%l6RJy0> c8Üb2 UGp h=nh:jbK#uF*e QPl;=V`:p ~:g P=E(MdDR #l*Xh {SMoB\s&g!& b$  cμ6`dc}Z4r+[4=BŨ\@ݫw>&c=C6VX''Zb}߼pgh- E~Yw7h7Y]3d!@yd$u 0 5> QKEo Ȭ3xLDEr*Wxz|,lˢcYzeQ%ײh<ˢ E=eC&&)!$L+> %l{0wՠk㯰e@#RFB +gZ!p 1sjF" 4_Shhƒ*' 8el@p%z#ȫ˿̎xW `-B  QMN2f]D4tl$ITӹ8M PnauI&1pJjBl11ctYGFYJ/*h.bȥZߝ7 [4̢^9fLqT>G`|nj2ţj$eAr/ao!(0F'`ثaوc!)&_dͱƼ0b^Hֻ8_GY7fCACѶE`|Z5?4|:7?5W1U4{q9ˢCj~f+IVy"Ē쨀Pȇ zZa)7o[4]u*ǻ>>ov# \Gl' ELt!=o,SO"JXVbRE}"~/C"kqz콢zSСHʼnl@ %z7AmwU]A:ImHm/jYwTQ{{'Bb[GO 7\X.?Y@{;,]b[x9UTꁒX(9R5A3J.yWV.z{Q aUI2FbW$ Q7SfGVGtU>j̮ZNHHzJ5p~ɃdȠRqcGi 222F4e)'fL$%6MJLdv00mԴq79R]#&:Y?v`hLK,˶=[~'}nzlƈKypc-^Hӛ|Ko+\ݵ?}p՟~,9p{>/~qhO]vgfXCQtPJ@#E "ŠCH1R`BI JB739=g{5z~v(~ѦF4VwIC" PDnh& H 풌@B[3)bvwTDBؗZO]!38uO%Ɉf}"b& Nf 0@Kc?0[5a6gm;RIِ k^2(tVU- FN|ܯc/c$R><m/HEQF5YO'Zّ0BqƲ[K7ĒtsCnjMt0G$ ;Hfv7 >-rbՀ &qJ `>1J눢F $E&X[rjAoq5= "o$RxjetO|$o񂄮,R&nn:$tUPږўhks Aԉ䵔4jOH=vY0<ݎV¦wԟQA jV,ˤvZ@:xTe3؂܅4~hҷ *"HcXp 9+6~lM[Mv=3(4evGG׿]34jo2? |;޽mK v0j MhN q/~ ׺ȭKB ۗh# #Q٦jVC0&r+{mAS$|Mq&`JԱ"*t(+(EYkz^(Q~ sŦ;fQ 'tO@~7Hhx#Ŗo[*0\U$0}ifaVkD??3k^k_^*+|_f8J÷ #]״JUSs&B*X|^y>/0UU ~_g$UIyDB}ͦq-BJ{+qER&fc>$zᖈII(4ٕJ&j O=|9w]ԛQ2okfs.C]W{:ڊ$7u ,jgؘY ,x # IxAOWt`ւq]bR~m4ľ.u׳ЉmʥaRƹ|UʘWSn BZ B\ViK6tdgH6<+3;BaN&R5W T‘ZUGÅuo[6I]7]Gk,u?'AʭPnm-\twf_@+72"ԋ?w+Ԫ~{G3p!X䗑U9gcj-DC&^;; 鳰2&1H̸0gk$Lꆜ' >_-Vdُ#_^hPpY~d^ mxaEiي'ljIk&2+̗K6seAhC]eҊ'!`ݪoIkwiiY3Id?.]+P[ndRQ"bLp0aR [ŽtVS"jUOwc4 (\z},Hv'+窱rx]#7"@u#;fԎ e{epsyP)?`PrbQǂiopa~|ivy*ߢ +g"e0_oGL$@3.$gk.c{8Z1%/N טtĂA&+V[n t°vѼ#.sN^7~yōUnX't%* I z&'rOᭈfvH2\BT@gưDp֑Ĝ?lfB?`oa|l^_%) l1f1%]bj12L^ZrJ $I}}G/P*:OPPk uM/|p5Z9L=Q;umk Lք?9CAՂ}%jXOl\=E̲˅$mo|DuW-F3VGc ^} H 50(8@.KiƄuٜ'.=^kֿ*+*UӾֿ߳F4^gv!YvW; ?q[Awۢv^s8N3t9ጡxfsɺJك6=8WC0ُ 9WSZIb'PX,ޔw $âz{2RÂ- ΛQsZv]Q_\U'g&a>9r5:iW iјUR}nҾ4)B_*߈ŁنyvRFe s-0ejz<6ktvj!^yb/h4#nOZ2U0ܾl2>%4`v wp(*`K%4|<+?swR,/\jkLMHʒ/o°-vbPk`-*';NrAҁ'K%N;B]t D} 49B2*~BTjP_6{Aʼޝ{О^Ī!O;:r]~Tlnpls%ג̷V4%/ uh|.XÓW8^c{PE, rS;h^]&XN]`rR;gʞmm%^ϥ  {9YT}~&Hr蝍}0~ľ&/K6@ X%o*MK CE;@k+~ܑL[f7WvL:&BrqhwLz&,=ݦFR*&ZpeU~5qm~P֓ҏHFDVT o Ox1;*\"!(xݓhIEG2m㻱ٸhXod Srʫ7D%%?e*PdK X)B(WT!mUjXBA RtW=@ zӷo={=9_o73w 3+FǛ/,Ȇņؕ|p}uJ8@ہbQaUZEe+w3sGP)uy~^2v'.[jaSmh&7M- gslPdPYu)!!pfq:)Rr2vQ}]ec{5-2H= %KtYrtWG˫uBt]9V;"W%(}3Cs/goc:=:NJ"%CD LgrRdN |q M{.?@#YS)Z+ xB  QC+ LOu2ƮYc.L?2ZG3^L[[vv}4p?kШb , 5`$n"zSD%-U= a/z4(6] bߚG&85[ebҺf8TG( \np/yiU )Y!֥9 ,O)nv|uֈ>,.V<䱼GU'y#rV#Mx\ 7KP3[Rx6jVJVIj)՗ET/ϒ^BB.@ sb+iUs 0{Sl'HjV8с uSQI㪯dSa4eu([QI1PyxJC}50)=x"ID7֎GgG61⽗&:~}wӧK}X\oah/R>ihaY*l"wUU /=7Z ɷ[ Y[Qia:Y]vOrbWd=)Wj397Kx4dLe߫{E3;r1[ƟwG2˕iwh|ވFFPh7^뭦.Mzy7/7UM/Ndꣶ!2LW||<41Gc8G\- j^u=L6ʁ߾>q/5+SǬ-Qk^ S2%6}춅z;gYB<,̨s؅S|E7id ș%x Aٺ$PUZEqIs #j \j/| )hϟ:*JGȭ2nelQG(u7 ΁sOnPi?_:o}G럌glxqu6JBdرlU{_1yfvpXv~q{ 1nSt Z.b{;+#iw8̥5:ϤQ!tjQzgx=j# HZ[6ƂOm?.^ bO2&XD'ULuf7QAq"5˩_ ].lD_쵸U5|D_R-*H' iOZY K~Cx932rtRUφe?ZB#^+KY\5lrE4 F[S&ı>S(b{㿆i1Լ3V!^+[ ec7g*n2FqO!&b ϖ-E\2dOH0?vǑ}U"4#T@&6Ӵ vgƭ,kDgmT[nVb+Q &$lgG)9=hWyw ҾyQXQIO|3!*gp` ll;?}\vq@GExA%Cb1qLXvwOO/ aXA,l F@{}+΢`da8X8SO=~.<& QRVRӳH?UprYR,lll;"( b㱖+8W `\z6f9bV9"ny6r#WX 5&Dg e0Y˫AЛ!&"µ¡V}qy:ګ&ogi@V_Lr2 E~Ѱ5NksUdc$Vdf^v͐t/v%v"VJ7^ѻ{V/ v]3(q韎7j|R1n6t8.:UU֨q?/p)k3B6$1a,c*یHd14elcgj;R,q,#D&D~Ns?Gs:=wf~~vMv7tilEYA%KBKa:N'fF q"g|keT83u,1[iBBxǍM+ ]FUKzuYnXQFt(JOOr{YKB_FzWj!z 0x {Ew/jg<3.*rO  UN"/(u(m> i9#DݤW+dߣ5a\/Μ^c; x$|v[âk | !-~fp'~OA-PCrtFLkk3+p2!hNhcvy֣</d cZ;SL.sSh0Rb2|$a,hӿ\͌7vċメ)=!j;\_pI~ࢮNeSE/ m:B{blY..[:;R>fڇvVP a{*4s޳!D}fYo]ꮩS7~&O$5QTȣV`d T NPdCB[6W7 ZM#:E$XNiZ?|3ڥqq?Ɵ;4'Oa!w1^"g xo]nY`t#_< 7:3 2ϟ28qw x9|EE4w3[K\V@~' @xL{$81#oꌹ!{9|R5{Ð 4~";EtݤvV$ܥjIҹ Ì2&Nlt72«cծș, zUa{2K!uǒY,*;000%?#9a ѓPޟ$RFJNb,eڻnH 35'r6%_) _$ iEĵ"Ρo>GZ/v$>8X4j4*ʿ}ăjq!mS8Tcſz*I૥7m̅FN8:v.שc~$Um7TՕ\!GyXL4h׿ @`X+t/Sak .7ku(d8JOT,K5&g) { kZ2y<[#дx B¦ce2Z%׳K= /۹bWmIM1Dzم^{S8}# OuqW}Hz$s*dJ!8@oz m!h`Fgf>F/OcߌaUӋVZ 7mݴ__e犅v)2DQ5Z4H1;7Ŋ͇ʁ<'Ϝx]/ {#B>JElb-pg64=~Ek@Mr~Toۚ0o9c)ЬV"ժT|: 1$i5A/AUu mB}%u]!%t0iBAPO=/#S/^5׈d ZL{"CE1y{Qj3Asvs3{_sfH'.l*hyP7F8M\q5CJgrAjU SRBw(jg$rATmzœ%Lb77tJڶ2N,3 H\ E<}9K՛A?g녾8{Kl[swėe]DI(aDu+[p + X%߉Ƿ!WC;4O0ؤ7>!rKv`)+8O,>Dd[kֵgO'>8.DHRMg.^ U blw:E6`erYlil j7NlKnR不nK Q}}nD7I& Fi pl3U?ܞk[* Qbid >72\^!h`+*b13P(}UCFL T)fwS=j9q[ ŌyX9i#NT2̐2݌h?N A撵e90z= ]ȭdue:IxPېxѭ̃3Z !YW~9Ὁ#?ڂ6%wfѰi}B- P2AQ* 1*5_fikE%yIP| .ݻ =dwi2;|#.O"岌KNwG9qS~L?N% K),$ܰ  kdx1.R6?ʋ}AHPrpeُ@[OP͞Pm| ACUD:-S"ׂQ4qG&Z#g| J)8)0)˜ ?ezSY5DγR(ڜݡ#vS$0>ҝ~nXh%N5fBs~ԙ칡SI7$d==4I2ZpN؛>E{5w Nw=yuav~NAܛ!BV)N(ڟiÃ/+I#!PVRlŰ ؤկE7]%d=y(DHn΀dV0c{9Ͱl= {:-qꕙ߂V>,D8ђr`̴QC /"Ui*K0RM'M :Չ@(t,rI0$؞}o~SbqgTǗ?7uI,%8|hX,ACT^0h-+ sJ v ɠRve32emSfDZ4>g >38"ltZ3X7b_T_̆&LW9kE YT3n:2e8aEgjaN%&nC2g{4-s7ꀕOvCSۍ^  9M&ھwx06eI;eͤKAW]G^N~ѝkqTbq܌njّzg#OGrL|7fZ| e䶲hm'm`PnDS?NtZ Y CLom'0@-Gί'W :/#V))@cB[CGc ' B30[L<T"J^% "b0ЌYY{Ji3!&0#Kb mt,c At(sy9]_^}}}K^UBj̳2KFNS4i) l*ni-5 :1bt_㲐<޳nY>ںiܳLtZ2F~Ҍ"qE!f9!o2ʀ3~HNn! ]1 {E-|4"&{=`}Lқ[o5 S8%<;Cxz̐-"=LD!4麲U,bO,2`PV>&T"Uv뜞tn`Nc7R|8i1`k)\#)\IlԊVf܋?YKUp"P APZr*; NO5[—߮Z}F'_[f9=[FkvwnyՀt_"|EF+Ʊ)LJჁ$'N* 5- f0ADږ,7hTqiJ+&5kNK iWhjuO9زWHTaa' diC?܃X &'di b^Wqe|!v:rP_l"-bf GWzu Lƈ`YtH+\^jsB:BYjᵾ)vBl!0RU֌&hFk_٤m" aZZÆI,ݎZ׀9<3D@=Sn7=^[4!KF^T{n|%bTHrVb`h P#p{GAFcvo!-'.^K|dsxS>,fT'<1PQұw±bB>Y_ ]벷vQo'Z<y~O{N]$vN`;L$H )BR0z<"*;Lj*<4lI9=&$0YŴ-_܍PDj1>DSUQhC'kyFӪFa ӎ8cāl`Z Ѧj!_ ǎB3i+ вLP1{.:׽e\.q*kij(io6D@oX) Md䳻.%e&F6="Ub>"VcWo4CvToCɌw_, h3 ')aQC->T/]zO]BXbB r˪@ &.X uk=o, UJ^7Mc gP`PAU ͡2Op)ClX|;nh50 z âm:|Ds ƱkD DzIhI YH1'a@`X^^< 5-kvkƇɮwćz3豾pzVu!m?O랥8RU9 ւsAFٌj; B6rLKY2NO&B- W69(zCcrf7«>^/ nc3e:&QY`LK1n<{666d(,j!Ɖ[ wؔd3%I߅,ZۈcÉ'AL*O:E$~~Al:,Qte*T0|iO,bz6Pݚ8ڈJ4 'qoP^1W؟jJ^ZѤBW^.i9˵Gtf2ؾs_iֶѱS‰Gjɒ~U?җ}!of1qԟ^&O|tpu(!)ל:&^3A}zo 2~1l,2єP-]\'[cmo'uDt7B mu)ˈOr"j Mr|1eBy$b?Anio>%> ou>S񿭽K7dҚs/,#5=ϱ}sQ31N% 3Cp AJhƫ Lι#Uw|/11} xBͨa\Q7SC)5v_Z+}D4ȰxA>M't30n/l6\F%B;1YkU S@P7Tuwr%ś Ul76'+nyOVzЪ:ŋHπG9^U,rY"ԢbV8ZӬ8KDZUr|thS]%,0: քXͫ $N4[BGKRn@ɂl&L~"NϻCj[]d)J3cEpi9H]?׳{q'|kzgIͭV?2EtE;w/^4pa 9 \9F/ f) ڱ⡹S"mý2U?p&Gճz ',՜:M yhݡgvsBu;=1W |ˁ↗]Y8T?򉜸F\u`G_}U=;3[?u^sv0Sg 3 UF`y}~"Ȱkx?PӘfH7{=, Z<ܥ};+R<+ĭnU`SRR A7u鞙:vŶm~7PkF{AH4VMƯ-[X~$Q[D[q9A7FF}Ǒ/[qc 3OO:r%cN:ƒC%͢$HTU Fyfm2T'XX-3&I+QA\gJ } o,cڧs%̶< fg.% l8ԭf>47 173598?;m(0ɪ3 8͒3Prmd0-d&3ᶇgw˒&%9kgR %to!@ C( `AH!ˬ;آa$x~ O`b4qź)7~i@IBMI6(tTE@ @ @@@@@`@```@@@@ @ @ @ @@ @ @ ` @` ` ` @ @ @ @ @@@@@ @@ @ @ @@@@@@@@@@`@@`@`@`@@@@@@@@@@@@@@@@@@@@@`@``` `@ ` ` `@`@@`@`@```@```````@````@````@````@```@ @ @@@@@`@```@@@@@ @ @@@@@`@```@@@@@ @ @@@@@`@```@@@@@ @ @@@@@`@```@@@@) ewaAa. e VHDLi aaС i ae aqa. abi ae aq VHDL aA aae aq ge a. qe aa a eA e a iE a ae e b bsa. a q Aa i e eСA wi e b asСa. ᬥi ae ḡe ᬥ q a aaAa. VHDL ? q we Aa. e A bsСa. i aС ᶁe Backgrouda a asСa. a 3e i avae … i a bAAa. q Aa awae Toole Max Plus 5a. a 2a eae(Adder)i 鬁sСa. b x, yi bha Sum, Carryi bsСa. i Schmetica eea bsСa. 圢a 鬁ae AVa. a i VHDL eс aa. -- adder2.vhd library ieee; use ieee.std_logic_1164.all; entity adder2 is port ( x, y : in std_logic; s, c : out std_logic); architecture rtl of 2adder is begin s <= x xor y; c <= x and y; end rtl; q Laguage uaСa. VHDLi Aҡ 鬁ae a bae Sa. ae 241 Mux Ai a. i e eс a. i VHDL eс a. -- mux21.vhd library ieee; use ieee.std_logic_1164.all; entity mux21 is port ( a, b, s : in std_logic; y : out std_logic); end mux21; architecture rtl of mux21 is begin process(a, b, s) begin if ( s = '0') then y <= a; else y <= b; end if; end process; end rtl; eae i se gi a. aAAe sVe. VHDL wi a i a. Ai q ewс a. b a, ba 4aˁ bae sa? K-mapi w i aaa. e VHDLe A aei iaa? b b aˁe ewѡe Sa. library ieee; use ieee.std_logic_1164.all; entity mux21 is port ( a, b : in std_logic_vector(3 downto 0); s : in std_logic; y : out std_logic_vector(3 downto 0)); end mux21; architecture rtl of mux21 is begin process(a, b, s) begin if ( s = '0') then y <= a; else y <= b; end if; end process; end rtl; VHDL w񸳷a. VHDLi A e Sa. Aҡ 鬁i i e a΅ a. e e w ei a sa. eA ge wi a sa. Schemetica ei e Aҡe 5e Aa a. a ae ae VHDLi w e wi e Va. A 񈂬 aa( e iaȡaa ww)i w VHDLi b e xaAa. aa ge aaa ae. aaˡ g aС sa. &Z