About Synopsys Design Constraints (SDC) files

Synopsys Design Constraints (SDC) is a Tcl based format used by Synopsys tools to specify the design intent, including the timing and area constraints for a design. Actel tools use a subset of the SDC format to capture supported timing constraints. You can import or export an SDC file from the Designer software. Any timing constraint that you can enter using Designer tools, can also be specified in an SDC file.

Use the SDC-based flow to share timing constraint information between Actel tools and third-party EDA tools.

Command

Action

create_clock

 

Creates a clock and defines its characteristics

create_generated_clock

Creates an internally generated clock and defines its characteristics

set_false_path

 

Identifies paths that are to be considered false and excluded from the timing analysis

set_input_delay

Defines the arrival time of an input relative to a clock

set_load

Sets the load to a specified value on a specified port

set_max_delay

Specifies the maximum delay for the timing paths

set_multicycle_path

Defines a path that takes multiple clock cycles

set_output_delay

Defines the output delay of an output relative to a clock

See Also

Constraint entry

SDC syntax conventions

Importing constraint files