Answers Database


JTAG - Can TDI, TCK, TMS and TDO be connected to a user signal and BSCAN?


Record #1356

Problem Title:
JTAG - Can TDI, TCK, TMS and TDO be connected to a user signal and BSCAN?


Problem Description:
Urgency: Standard

General Description:
The TAP pins of the XC4000e/ex/xl/XC5200 can be used for user I/O.

Some users, due to limited pin resources, may want to use
the TAP pins connected to a user signal and the BSCAN component.

Is this possible?


Solution 1:

If boundary scan is not enabled (the bscan macro is not instantiated) then
TDI, TCK and TMS can be used as I/O pins and TDO can be
a tri-stateable output available after configuration. The TAP pins cannot
be used for both a user I/O and connected to the BSCAN component
at the same time.





End of Record #1356 - Last Modified: 01/10/00 22:13

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Technical Tips!