Answers Database


JTAG - How to attach a pullup or pulldown resistor on the 4K TDO pin?


Record #1358

Product Family: Software

Product Line: FPGA Implementation

Product Part: bitgen

Product Version: 1.5i

Problem Title:
JTAG - How to attach a pullup or pulldown resistor on the 4K TDO pin?


Problem Description:
General Description:
How to access the pullup or pulldown in the TDO IOB in a XC4000
series device if the TDO pin is being used as an output or OBUFT?




Solution 1:

It is possible to attach the pullup or pulldown to the TDO pin
using MAKEBITS in the XACT 5.x, 6.x software.

Run makebits with the following option:

makebits -f TdoPin: Pullup | Pulldown <lca file>

Note: It is not possible to access this feature via XDE. You can
only see the TDO component, however not edit it.




Solution 2:

It is possible to attach the pullup or pulldown to the TDO pin
using BITGEN in the M1 software.

Run bitgen with the following option:

bitgen -g TdoPin:Pullnone | Pullup | Pulldown <infile[.ncd]>
[<outfile>] [<pcffile[.pcf]>]

Note: It is not possible to access this feature via EPIC. You can
only see the TDO component, however not edit it.




End of Record #1358 - Last Modified: 01/10/00 22:10

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Technical Tips!