Answers Database


M1: Pin Locking, I/O Constraints in UCF file


Record #1604

Problem Title:
M1: Pin Locking, I/O Constraints in UCF file


Problem Description:
The User Constraint File (UCF) is a user created ASCII file
that holds user timing and location constraints. It is read by
ngdbuild, which also takes a design netlist, like edif, and
produces a .ngd file.


Solution 1:

 _____					 _____
|     \ FRED  |\   TED	    |\	 NED	/     |
|      \______| \___________| \________/      |
|      /      | /	    | /        \      |
|_____/       |/	    |/		\_____|
 IPAD	     IBUF	   OBUF 	  OPAD	      _______________________
						     |			     |
						   / |			     |
						  /  |			     |
						 /   |			     |
  _____ 			 ______________      |LOU[7:0] IT[7:0]_____  |
 |     \ JIM[7:0] |\  JACK[7:0] |	       |     |	    |\	     /	   | |
 |	\=========| \===========|LOU[7:0]      |     |	====| \=====/	   | |
 |	/	  | /		|	       |     |	    | /     \	   | |
 |_____/	  |/		|	       |     |	    |/	     \_____| |
  IPAD8 	 IBUF8		|	       |     |			     |
				|______________|     |			     |
				 Hierarchy_Block     |			     |
						 \   |			     |
						  \  |			     |
						   \ |			     |
						     |_______________________|

                                       "Schematic of
                                      Hierarchy Block"

--UCF FILE BELOW--
# This is a UCF comment.
# The constraints below lock the I/O signals to pads.
# The net name that connects to the pad is used to constrain the I/O.
# For Pin Grid Arrays, use pin names like B3 or T1, instead of P<Pin Number>The User Constraint Fil
e (UCF) is a user created ASCII file that holds user
timing and location constraints. It is read by ngdbuild, which also takes
a design netlist, like edif, and produces a .ngd file..

# Lock the input pins
NET FRED LOC = P18;
NET JIM0 LOC = P20;
NET JIM1 LOC = P23;
NET JIM2 LOC = P24;
NET JIM3 LOC = P25;
NET JIM4 LOC = P26;
NET JIM5 LOC = P27;
NET JIM6 LOC = P28;
NET JIM7 LOC = P38;

# Lock the output pins
NET NED LOC = P19;
NET HIERARCHY_BLOCK/IT0 LOC = P44;
NET HIERARCHY_BLOCK/IT1 LOC = P45;
NET HIERARCHY_BLOCK/IT2 LOC = P46;
NET HIERARCHY_BLOCK/IT3 LOC = P47;
NET HIERARCHY_BLOCK/IT4 LOC = P48;
NET HIERARCHY_BLOCK/IT5 LOC = P49;
NET HIERARCHY_BLOCK/IT6 LOC = P50;
NET HIERARCHY_BLOCK/IT7 LOC = P62;



Solution 2:

In order to exclude an I/O pin from being used in a design,
the following syntax should be used in the .ucf file :

CONFIG PROHIBIT = <pin number> ;

Where <pin number> is the excluded pin such as P11 or C26.

For example :

# If you desire to prohibit pin C26 from being used:
CONFIG PROHIBIT = C26 ;

Note: The Mode pins (MD0, MD1, MD2) and the Boundary Scan pins
(TCK, TDI, TDO, TMS) do not need to be prohibited and an error
may occur if these sites are prohibited from the UCF file.
These sites are reserved sites and will only be used if
specifically specified in the design.




End of Record #1604 - Last Modified: 04/09/98 18:06

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Technical Tips!