Answers Database


A1.5i (M1.5.25) map: FATAL_ERROR:xvkma:xvkmaslice.c:4041:1.97 - domergeslices got empty slot for frag


Record #5174

Problem Title:
A1.5i (M1.5.25) map: FATAL_ERROR:xvkma:xvkmaslice.c:4041:1.97 - domergeslices got empty slot for frag



Problem Description:
Urgency : Standard

General Description:
Map error out on a LUT component with the following error:
FATAL_ERROR:xvkma:xvkmaslice.c:4041:1.97 - domergeslices got
empty slot for frag: comp ix5298

ngdbuild doen't issue any related warning.

Input and output of the LUT seem to be connected properly to
LUTs and FDCPE.


Solution 1:

There are actually two problems in the design that might
cause the error:

      1. User uses FDRS type flops (in this case FDCPE) but
         connects GND to the SET pin, this will trigger a
         special trimming procedure to try to trim the pin
         away, this procedure does not leave the flop in the
         right state for pack/merge.

      2. When packing or merging a FDRS type flop, we have
         the option of moving the flop from top half (which
         will use up a G-lut for route-through) to the
         bottom half. But there is a bug in the pack/merge
         code that fail to identify all the variances of
         FDRS configuration (e.g. It fails to update the
         celltform BYMUX if we have 2 FDRS type flops in
         the source slice.)

      problem 1 can be avoided if the user will not use FDRS
      type flop with GND connected SET pin (exchange FDCPE
      with FDCE).

      Problem 2 can only be avoided by setting the variable
      NO_DELAY_BASED_MERGE, to avoid problem-causing merge.
      It one case it was also necessary to set the variable
      NO_MERGE_OTHERS.

      Work Stations:
      setenv NO_DELAY_BASED_MERGE 1
      setenv NO_MERGE_OTHERS 1

      PCs:
      set NO_DELAY_BASED_MERGE=1 (note: there should be no spaces around "=")
      set NO_MERGE_OTHERS=1








End of Record #5174 - Last Modified: 02/25/99 10:09

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Technical Tips!