Answers Database


V2.1i COREGEN, VIEWLOGIC, POWERVIEW v6.1, VLLINK, UNIX, FUSION v1.4 : "ERROR: Viewlogic symbol generation failed.", "WARNING: Core xxx did not generate product ViewSym"


Record #6611

Product Family: Software

Product Line: Coregen

Product Part: Coregen

Product Version: 2.1

Problem Title:
V2.1i COREGEN, VIEWLOGIC, POWERVIEW v6.1, VLLINK, UNIX, FUSION v1.4 : "ERROR: Viewlogic symbol generation failed.", "WARNING: Core xxx did not generate product ViewSym"



Problem Description:
Urgency: hot

General Description:
The following message may be seen when trying to generate a Core with
the CORE Generator for a Viewlogic flow:

" "ERROR: Viewlogic symbol generation failed.", "WARNING: Core xxx did not generate product ViewSym "

The symbol generation failure may be due to an incomplete environment setup.
The CORE Generator invokes a Viewlogic utility called VHDL2SYM	to generate
a Viewlogic symbol.  In Powerview 6.1 or later, the VHDL2SYM is bundled
with Viewlogic's FUSION product.  As a result, if you are using Powerview 6.1 or
later, FUSION v1.4 must also be installed to support COREGEN Viewlogic interface
on workstations.


Solution 1:

Required environment variables:

WDIR <absolute path to Fusion 1.4 install directory>/standard:\
   <absolute path to Fusion 1.4 install directory>/standard

VANTAGE_VSS <absolute path to Fusion 1.4 install directory>/standard/van_vss

LD_LIBRARY_PATH ${VANTAGE_VSS}/pgm/data:${LD_LIBRARY_PATH}

LM_LICENSE_FILE <path to the combined Fusion 1.4 and PV6.1 license.dat file>

PATH <absolute path to Fusion 1.4 install directory>/standard/van_vss/pgm/dir:\
    <absolute path to Fusion 1.4 install directory>:\
    <absolute path to PV6.1 install directory>


HP Platform ONLY:

setenv VL_STRDISABLE 1




End of Record #6611 - Last Modified: 08/30/99 10:10

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Technical Tips!