Answers Database


Exemplar: How to lock pins in the Spectrum GUI, in the VHDL code, or in a Tcl script.


Record #6899

Product Family: Software

Product Line: Exemplar

Product Part: Leonardo Spectrum

Product Version: 1998.2

Problem Title:
Exemplar: How to lock pins in the Spectrum GUI, in the VHDL code, or in a Tcl script.


Problem Description:
Urgency: Standard

General Description:

I am trying to Lock my I/O down using Exemplar. How do I do this?
Can I do this in my VHDL code? Can I use the GUI?


Solution 1:

Using the Leonardo Spectrum GUI:

1. After doing a 'READ' goto the 'Constraints' Power Tab.
2. Click on the appropriate Input or Output sub-tab.
3. Choose the appropriate Port in the Input/Output Ports window.
4. Fill in the appropriate Pin Location entry. (ie. P14)
5. Click on the 'Apply' button.



Solution 2:

In you VHDL code the following will lock the signal DATA to pin 22:

------------------------------
library IEEE;
use IEEE.std_logic_1164.all;

entity d_register is
     port (CLK, DATA: in STD_LOGIC;
        Q: out STD_LOGIC);

     attribute PIN_NUMBER : string;
     attribute PIN_NUMBER of DATA: SIGNAL IS "P22";

end d_register;

architecture BEHAV of d_register is

begin

My_D_Reg: process (CLK, DATA)
     begin
      if (CLK'event and CLK='1') then
          Q <= DATA;
      end if;
end process; -- End My_D_Reg

end BEHAV;
-----------------------------



Solution 3:

In a Tcl script:

After a 'READ' and before 'OPTIMIZE" use the following attribute:

set_attribute -port port_name -name PIN_NUMBER -value pin_number

     for example:

set_attribute -port DATA -name PIN_NUMBER -value P22




End of Record #6899 - Last Modified: 06/28/99 10:30

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Technical Tips!