Answers Database


V2.1i COREGEN, VIEWLOGIC: "ERROR: cleanUpSymbolFile: Could not read symbol file: <project_directory>\sym\<modulename>.1"


Record #7143

Product Family: Software

Product Line: Coregen

Product Part: Coregen

Product Version: 2.1

Problem Title:
V2.1i COREGEN, VIEWLOGIC: "ERROR: cleanUpSymbolFile: Could not read symbol file: <project_directory>\sym\<modulename>.1"



Problem Description:
Urgency: hot

General Description:
The Viewlogic VHDL2SYM executable uses the .VHD produced by
the CORE Generator to generate a Viewlogic symbol for the core.

The following errors are issued when trying to generate any of
the Basic Element modules for Virtex for a Viewlogic schematic
design:.

    ERROR: cleanUpSymbolFile: Could not read symbol file: F:\wvoproj\bsblx\sym\test1.1
    ERROR: invokeLinkScript: Failure to clean up Viewlogic symbol file.

The problem is that the entity declaration in the VHD file used by the
VHDL2SYM Viewlogic interface executable for CORE Generator module
symbol generation does not contain the user-specified module name for the
VHDL entity.  Because of this, the name of the symbol created is some
internally defined name like "gate_bit_bus".   The subsequent processing
step requires the module symbol and issues the errors above when it is
unable to find it.

This problem is limited to the BaseBLOX modules contained in the CORE
Generator Basic Elements folder such as the FD Latch, MUX Bit, etc.




Solution 1:

The workaround is as follows:
1. Edit the .vhx file in your project directory and change the
     VHDL entity name in this file to your module name.
2. Rename the .vhx file to <your_modulename> .vhd.
3. Within your project directory,run the following command from the
     DOS prompt to generate your Viewlogic symbol:

        vhdl2sym.exe -o <libraryname> -c <corename> -s 20





End of Record #7143 - Last Modified: 08/16/99 17:16

For the latest news, design tips, and patch information on the Xilinx design environment, check out the Technical Tips!