Synopsys Support for PERIOD and OFFSET
- Synopsys supports this type of system level timing analysis in the .dc
1) create_clock -period 125 -waveform {0 62.5} find(port,"CLK")
2) set_input_delay 125 -clock "CLK" find(port,"NOTRST")
3) set_output_delay 125 -clock "CLK" find(port,"GAGɘ>")
1) TIMESPEC TS_CLK = PERIOD : "CLK": 125 : HIGH : 62.5;
2) NET "CLK" TNM = "CLK";
3) NET "NOTRST" OFFSET = IN : 125 : AFTER : "CLK";
4) NET "GAGɘ>" OFFSET = OUT : 125 : BEFORE : "CLK";