======================================================================== README File for the CORE Generator (TM) System 1.5 Software Release ======================================================================== Welcome to the CORE Generator System 1.5 software from Xilinx! TABLE OF CONTENTS + Package Contents + Tips on Accessing Information + Platforms Supported + Architectures Supported + Design Entry Platforms Supported + Known Issues - General - Foundation - Viewlogic PACKAGE CONTENTS The CORE Generator System v1.5.0 CDROM contains 3 programs: CORE Generator System v1.5.0, Java 1.1.3, and Adobe Acrobat 3.0.1. Both Java 1.1.3 and the CORE Generator System tool are installed by default. On Windows platforms, you are given the option of installing Acrobat if it cannot be found on your system. Solaris customers can install Acrobat directly from the CD by extracting one of the following archives found at /vendor/acrobat: acroread_solaris_301_tar.Z or acroread_solaris_301_tar.gz TIPS ON ACCESSING INFORMATION There are several places where you can obtain information about Xilinx Known Issues: + the Known Issues section of this README file, for issues known at the time this release went into production; + the online CORE Generator System User Guide, which is accessible from the HELP menu of the CORE Generator GUI. Use this resource for general information on using the CORE Generator System; + the CoreLINX web page, http://www.xilinx.com/products/logicore/coregen/index.htm, for information on software and core updates + the Answers Search section of the Xilinx Web site. Xilinx recommends that for the most reliable and up-to-date information available, you should access the Answers Search page on the Xilinx Web site. To access this page, please perform the follow steps: 1. From the Web, access the main Xilinx web page at this URL: http://www.xilinx.com. 2. Click on Service and Support. 3. Click on Answers Search. 4. Place check marks in the boxes of the topics you would like to constrain your search to. 5. Enter search text in the search box. 6. Click Smart Search to begin search. A CORE Generator System Expert Journal page highlighting important information on the CORE Generator System is also available at: http://www.xilinx.com/support/techsup/journals/coregen/index.htm PLATFORMS SUPPORTED + Windows NT 4.0 + Windows 95 + Solaris 2.5, 2.6 + HP-UX 10.2 ARCHITECTURES SUPPORTED The following families are supported in this release: XC4000E, XC4000L, XC4000EX, XC4000XL, XC4000XV, Spartan, and Spartan-XL. This release also supports Virtex COREs. Two Virtex COREs, the Single Port Block RAM and the Dual Port Block RAM, are available in this release. Additional Virtex cores may be plugged into the CORE Generator System v1.5. These will be provided via the CoreLINX web page as they become available. DESIGN ENTRY PLATFORMS SUPPORTED + Viewlogic schematic Powerview 6.0 or later, or Workview Office v7.4 or later + Foundation schematic * Foundation F1.5 is REQUIRED + Foundation Express * Foundation F1.5 is REQUIRED + Alliance FPGA Express Synopsys FPGA Express v2.1.1 or later + Synopsys FPGA Compiler v1997.01 or later KNOWN ISSUES General: + Ref #105136: Windows 95 and NT Display setting must be set to 256 colors for proper operation. Failure to set your Display to 256 colors will result in the following error: "This program has performed an illegal operation /page fault in module WINAWT.DLL + Ref #103441: Network support. The following operation modes are supported on Solaris systems, but not on PCs running off a Solaris server: - Running the CORE Generator System on a remote Solaris machine, and redirecting the screen output to a local Solaris screen; - Running coregen on a local Solaris machine, and accessing program files from, or storing results to, a remote Solaris hard drive. + Ref #106955: Mixed HP/Solaris Platform remote operation support. CORE Generator System does not support the mode of mixed HP/Solaris platform network operation in which a user does a remote shell (rsh) to an HP platform from a Solaris machine, and attempts to run the CORE Generator executable on the HP platform while displaying the graphical interface on Solaris. The folder icons will not display properly. + Ref #103858: "Exception occurred during event dispatching: java.lang.OutOfMemoryError". You may run into this error when generating a large Core (e.g.: large PDA FIR Filters). - Make sure that the amount of memory on your machine matches the recommendations listed in the CORE Generator System User Guide. - If more than 128 Meg of RAM is available (say, 256 MB), increase the amount of memory that Java has available to it by changing the -mx128m option in the java.exe invocation to -mx256m in the "coregen.bat" file on PC platforms, or the "coregen" script file on Solaris platforms. On PCs, "coregen.bat" is located under < COREGen_PATH>\bin\win; on Solaris, "coregen" is located in < COREGen_PATH>/bin/unix. + Ref #103855: CORE Generator System does not release CPU after a large core has been generated. This problem has been seen when generating the largest dual port RAM and the largest FIR filter COREs. You must exit out of the CORE Generator System to release the CPU, then restart the application to generate the next CORE. + Use Timespecs to meet targeted performance. The Xilinx M1 Tool is Timing driven, and it is important to use Timespecs to specify the performance you are trying to achieve to obtain the desired results. Achieving the performance documented for CORE Generator System COREs may require the application of Timespecs as well. See the Xilinx Reference Guide chapter on Using Timing Constraints for details. + The "busy" cursor does not revert back to an arrow after CORE generation is complete. On Windows platforms, the "busy" cursor is an hourglass symbol, while on Solaris, it is a stopwatch. Because the cursor does not automatically revert back to its default appearance, this may give the impression that the generation process is still underway. Solution: Check the message window at the bottom of the CORE Generator GUI to see if CORE generation has completed. Once generation is complete, simply move your mouse to change the cursor back to its default "arrow pointer" shape. + Error loading DataSheets in Acrobat. Make sure that you are using Acrobat v3.0 or above, otherwise some CORE Generator System Datasheets with long file names will not be accessible. Acrobat v3.0.1 install archives are available on the CORE Generator CD in the vendor/acrobat directory. + Ref #104237: Datasheet "Parameter file information" tables. Tables of "Parameter file information" in the Datasheet for each core is provided in anticipation of support for batch mode generation of CORE Generator System modules. This capability is not supported in the current release. + Ref #107139: There is no "View Init Values" Button support for Virtex Block RAM. There is no support in COREGen for viewing the init values that have been loaded from a .COE file for a Virtex single or dual port Block RAM. Virtex block RAM are usually quite large, and correspondingly require a large number of init values-- more than would be practical to display from the COREGen GUI. If desired, the coefficients can be viewed by opening the .COE file with a standard text editor, outside of COREGen. + Ref #104256: "Load Coefficients" browser displays *all* files instead displaying only files with a *.coe extension on Solaris. Clicking on the "Load Coefficients" button displays *all* files in the current directory, instead of only displaying the files having .COE extensions. This is a known problem on the Solaris platform. The same code works properly on Windows platforms. There is no workaround other than to be sure that you only load files with a .coe extension with the "Load Coefficients" button. + Ref #104720: Blank DOS box appears and COREGen hangs when COREGen is invoked with Windows Display set to "True Color" on Windows NT. COREGen may be unable to start up on some machines if the Windows Display setting is set to "True Color", and the Resolution is set to higher than 800x600. The fix is to change the colors setting for the Windows DISPLAY to 256 colors from your Control Panel. The problem appears related to a problem asssociated with the Microsoft Java routine, GetDIBits. Microsoft suggests downloading a post-version 3 version of their Service Pack to fix the problem (see ftp://198.105.232.37/fixes/usa/nt40/hotfixes-postSP3/\ getadmin-fix/Q168748.txt for more information. Foundation: + Foundation F1.5 is required for compatibility with CORE Generator v1.5. + Ref #106940: Foundation symbol pin ordering may be inconsistent between the V1.4 and V1.5 releases of CORE Generator. In the 1.4 release, bus pins were usually placed on the bottom of the symbol, whereas in 1.5, they are placed at the top. This is a known issue associated with the change from XNF to EDIF for implementation and the different versions of Foundation required. The workaround is to use the Foundation Symbol Editor to manually modify your symbol as needed. Viewlogic: + Ref #103922: ERROR starting PM. Missing LIBBASUT.DLL This error occurs during the Viewlogic Symbol generation process when the system is not set up properly to run the Xilinx M1 Implementation Tools. Please refer to the Xilinx User Guide for Setup information. + Ref #103805: Viewlogic Schematic Flow: "ERROR: Could not load schematic sheet for symbol..." This error will be displayed for every CORE Generator System module that you have in your design when you run the Viewlogic check program. The error is due to the fact that the BLOCKTYPE attribute on the CORE Generator module symbol is "composite" instead of "module". The symbol must have the "composite" property so that VSM will find the WIR file for the COREGen block when it generates the functional simulation netlist for the design. You will get errors about there not being a schematic underlying the symbol for these COREs, but these can be ignored. + Ref #104780: Warning: "The VLLINK.BAT file has a line that is longer than 254 characters. It may not execute properly on your machine." This message applies only to Viewlogic users. The Vllink.bat file is used to generate a Viewlogic Symbol and Simulation file for a CORE, and will not run properly if one of the command lines is longer than 254 characters. If you are designing with Viewlogic, you must re-install the CORE Generator in a directory closer to the root directory. The path to the install directory should consist of 28 characters or less, including '\' characters.