Axcelerator RAM

Features

Family Support

Axcelerator

Description

Axcelerator provides dedicated blocks of RAM. Each block has a read port and a write port. Both ports are configurable to any size from 4Kx1 to 128x36; thereby, allowing built-in bus width conversion (see SRAM Port Aspect Ratio table below). Each port is completely independent and fully synchronous.

SRAM Port Aspect Ratio

Width

Depth

ADDR Bus

Data Bus

1

4096

ADDR[11:0]

DATA[0]

2

2048

ADDR[10:0]

DATA[1:0]

4

1024

ADDR[9:0]

DATA[3:0]

9

512

ADDR[8:0]

DATA[8:0]

18

256

ADDR[7:0]

DATA[17:0]

36

128

ADDR[6:0]

DATA[35:0]

Modes

The three major modes available for read and write operations are:

Cascading Blocks

Blocks can be cascaded to create larger sizes. SmartGen performs all the necessary cascading for achieving the desired configuration. To achieve good performance, all cascaded RAM blocks must fit within one RAM column of the selected device. Cascading RAM blocks deep is possible only up to the capacity of one RAM column.

However, if the specified configuration exceeds one RAM column, SmartGen tries to cascade the RAM wide, up to the available RAM Blocks in the device. This will result in poorer performance as the RAM blocks are not physically close to one another.

The maximum WIDTH (word length) value is 65,536. The maximum DEPTH (number of words) value is 576.

The Read/Write Width/Depth can be different but the aspect ratio should be same for both. For example:

Read Width * Read Depth == Write Width * Write Depth

The write enable (WE) and read enable (RE) signals are active high or low request signals for writing and reading, respectively; you may choose not to use them. When none is selected for an enable, that operation remains enabled all the time.

For example, if WEN is chosen as none, then write operation of the RAM is enabled all the time.

The RCLK and WCLK pins have independent polarity selection.

Conflict Resolution

There is no special hardware for handling read and write operations at the same addresses.

Port Description

Name

Size

Type

Req/Opt

Function

Data

Write Width

Input

Req

Write Data Port

WAddress

log 2(Write Depth)

Input

Req

Write Address Bus

WE

1

Input

Opt

Write Enable

WClock

1

Input

Req

Write Clock

Q

Read Width

Output

Req

Read Data Port

RAddress

log 2(Read Depth)

Input

Req

Read Address Bus

RE

1

Input

Opt

Read Enable

RClock

1

Input

Req

Read Clock

Parameter Description

Parameter

Value

Function

WWIDTH

Write Width

Word length of Data

WDEPTH

Write Depth

Number of Write Words

RWIDTH

Read Width

Word length of Q

RDEPTH

Read Depth

Number of Read Words

WE_POLARITY

1 0 2

Write Enable Polarity

RE_POLARITY

1 0 2

Read Enable Polarity

WCLK_EDGE

RISE FALL

Write Clock Edge

RCLK_EDGE

RISE FALL

Read Clock Edge

PIPE

NO YES

Read Pipeline

DEVICE

125 250 500 1000 2000

Target Device, to determine blocks available for cascading

Implementation Parameters

Parameter

Value

Description

LPMTYPE

LPM_RAM

Generic Dual-Port RAM Category

Parameter Rules

Device

Parameter rules

Axcelerator

RWIDTH*RDEPTH == WWIDTH*WDEPTH