SDC syntax conventions

The following table shows the typographical conventions that are used for the SDC command syntax.

Syntax Notation

Description

command -argument

Commands and arguments appear in Courier New typeface.

variable

Variables appear in blue, italic Courier New typeface. You must substitute an appropriate value for the variable.

[-argument value]

 

Optional arguments begin and end with a square bracket.

 

Note: SDC commands and arguments are case sensitive.

Example

The following example shows syntax for the create_clock command and a sample command:

create_clock -period period_value [-waveform edge_list] source

 

create_clock –period 7 –waveform {2 4}{CLK1}  

Wildcard Characters

You can use the following wildcard characters in names used in the SDC commands:

 

Wildcard

What it does

\

Interprets the next character literally

*

Matches any string

 

Note: The matching function requires that you add a backslash (\) before each slash in the pin names in case the slash does not denote the hierarchy in your design.

Special Characters ([ ], { }, and \)

Square brackets ([  ]) are part of the command syntax to access ports, pins and clocks. In cases where these netlist objects names themselves contain square brackets (for example, buses), you must either enclose the names with curly brackets ({}) or precede the open and closed square brackets ([  ]) characters with a backslash (\). If you do not do this, the tool displays an error message.

For example:

create_clock -period 3 clk\[0\]

set_max_delay 1.5 -from [get_pins ff1\[5\]:CLK] -to [get_clocks {clk[0]}]

Although not necessary, Actel recommends the use of curly brackets around the names, as shown in the following example:

set_false_path –from {data1} –to [get_pins {reg1:D}]

In any case, the use of the curly bracket is mandatory when you have to provide more than one name.

For example:

set_false_path –from {data3 data4} –to [get_pins {reg2:D reg5:D}]

Entering Arguments on Separate Lines

If a command needs to be split on multiple lines, each line except the last must end with a backslash (\) character as shown in the following example:

set_multicycle_path 2 –from \

[get_pins {reg1*}] \

-to {reg2:D}

See Also

About SDC Files