get_clocks

Returns the named clock specified by an argument.

 

get_clocks <pattern>

Arguments

pattern

 

Specifies the pattern to match to the Timer or SmartTime on which a clock constraint has been set.

Supported Families

Fusion, ProASIC3/E, ProASICPLUS, Axcelerator, ProASIC, eX, SX-A

Description

Exceptions

Example

set_max_delay -from [get_ports datal] -to \

[get_clocks ck1]