set_multicycle_path (SDC)

Defines a path that takes multiple clock cycles.

set_multicycle_path ncycles [-from from_list] [–through through_list] [-to to_list]

Arguments

ncycles

Specifies an integer value that represents a number of cycles the data path must have for setup or hold check. The value is relative to the starting point or ending point clock, before data is required at the ending point.

 

-from from_list

Specifies a list of timing path starting points. A valid timing starting point is a clock, a primary input, an inout port, or a clock pin of a sequential cell.

 

-through through_list

Specifies a list of pins or ports through which the multiple cycle paths must pass.

 

-to to_list

Specifies a list of timing path ending points. A valid timing ending point is a clock, a primary output, an inout port, or a data pin of a sequential cell.

Supported Families

Fusion, ProASIC3/E, ProASICPLUS, Axcelerator, ProASIC (for analysis), eX (for analysis), SX-A (for analysis)

Description

Setting multiple cycle paths constraint overrides the single cycle timing relationships between sequential elements by specifying the number of cycles that the data path must have for setup or hold checks. If you change the multiplier, it affects both the setup and hold checks.

 

False path information always takes precedence over multiple cycle path information. A specific maximum delay constraint overrides a general multiple cycle path constraint.

 

If you specify more than one object within one -through option, the path passes through any of the objects.

Examples

The following example sets all paths between reg1 and reg2 to 3 cycles for setup check. Hold check is measured at the previous edge of the clock at reg2.

 

set_multicycle_path 3 -from [get_pins {reg1}] –to [get_pins {reg2}]

Actel Implementation Specifics

See Also

Constraint support by family

Constraint entry table

SDC syntax conventions

set multicycle path