report (Timing violations) using SmartTime

Creates a timing violations report.

 

report -type timing_violations [−analysis value] \

[−use_slack_threshold value] \

[−slack_threshold value] \

[–limit_max_paths value] \

[−max_paths value] \

[−max_expanded_paths value] filename

Arguments

-type timing_violations

Specifies the type of report to generate.

 

−analysis value

Specifies whether to consider minimum analysis or maximum analysis in the timing violations report.

 

Value

Description

min

Timing report considers minimum analysis

max

Timing report considers maximum analysis (the default value)

 

 

−use_slack_threshold value

Specifies whether to consider the slack threshold in the timing violations report.

 

Value

Description

yes

Includes slack threshold in the timing violations report

no

Excludes slack threshold in the timing violations report (the default value)

 

−slack_threshold value

Specifies the threshold to consider when reporting path slacks. This value is a floating-point number in nanoseconds (ns). By default, there is no threshold (all slacks reported).

 

–limit_max_paths  value

Specifies if the paths are limited by the number of paths.

 

Value

Description

yes

Limits the maximum number of paths to report

no

Specifies that there is no limit to the number of paths to report (the default value)

 

 

−max_paths value

Specifies the maximum number of paths to display for each set. This value is a positive integer value greater than zero.  Default is 100.

 

−max_expanded_paths value

Specifies the number of paths to expand per set. This value is a positive integer value greater than zero.  The default is 0.

 

filename

Specifies the name and destination of the timing report.

Supported Families

Fusion, ProASIC3/E, ProASICPLUS, ProASIC, Axcelerator, eX, and SX-A

Exceptions

None

Examples

The following example generates a timing violations report named timg_viol.txt.  The report considers an analysis using maximum delays and does not filter paths based on slack threshold.  It reports 2 paths per section and 1 expanded path per section.

 

report -type timing_violations \

-analysis max -use_slack_threshold no \

-limit_max_paths -yes \

-max_paths 2 \

-max_expanded_paths 1 \

timg_viol.txt

See Also

Generate reports

report

Tcl documentation conventions