timer_get_path

Displays the path between the specified pins in the Log window.

 

timer_get_path -from source_pin -to destination_pin \

[-exp value ] \

[-sort value ]  \

[-order value ] \

[-case value ] \

[-maxpath maximum_paths ] \

[-maxexpath maximum_paths_to_expand] \

[-mindelay minimum_delay] \

[-maxdelay maximum_delay] \

[-breakatclk value ] \

[-breakatclr value ]

 

Arguments

-from source_pin

Specifies the name of the source pin for the path.

 

-to destination_pin

Specifies the name of the destination pin for the path.

 

-exp value

Specifies whether to expand the path. The following table shows the acceptable values for this argument:

 

Value

Description

yes

Expands the path

no

Does not expand the path

 

-sort value

Specifies whether to sort the path by either the actual delay or slack value. The following table shows the acceptable values for this argument:

 

Value

Description

actual

Sorts the path by the actual delay value

slack

Sorts the path by the slack value

 

-order value

Specifies whether the maximum list size is based on the longest or shortest paths. The following table shows the acceptable values for this argument:

 

Value

Description

long

Base the maximum list size on the longest path in the design

short

Base the maximum list size on the shortest path in the design

 

-case value

Specifies whether the report will include timing values for the worst, typical, or best cases. The following table shows the acceptable values for this argument:

 

Value

Description

worst

Includes timing values for the worst cases

typ

Includes timing values for typical cases

best

Includes timing values for the best cases

 

-maxpath maximum_paths

Specifies the maximum number of paths to display.

 

-maxexpath maximum_paths_to_expand

Specifies the maximum number of paths to expand.

 

-mindelay minimum_delay

Specifies the path delay in the minimum delay analysis mode.

 

-maxdelay maximum_delay

Specifies the path delay in the maximum delay analysis mode.

 

-breakatclk value

Specifies whether to break the paths at the register clock pins. The following table shows the acceptable values for this argument:

 

Value

Description

yes

Breaks the paths at the register clock pins

no

Does not break the paths at the register clock pins

 

-breakatclr value

Specifies whether to break the paths at the register clear pins.  The following table shows the acceptable values for this argument:

 

Value

Description

yes

Breaks the paths at the register clear pins

no

Does not break the paths at the register clear pins

Supported Families

All

Exceptions

None

Examples

The following example returns the paths from input port headdr_dat<31> to the input pin of register u0_headdr_data1_reg/data_out_t_31 under typical conditions.

 

timer_get_path -from "headdr_dat<31>" \

-to  "u0_headdr_data1_reg/data_out_t_31/U0:D" \

-case typ  \

-exp "yes" \

-maxpath "100" \

-maxexpapth "10"

 

The following example returns the paths from the clock pin of register gearbox_inst/bits64_out_reg<55> to the output port pma_tx_data_64bit[55]

 

timer_get_path -from "gearbox_inst/bits64_out_reg<55>/U0:CLK" \

     -to {pma_tx_data_64bit[55]} \

     -exp "yes"

See Also

Tcl documentation conventions