HierarchyFilesModulesSignalsTasksFunctionsHelp

/******************************************************************************/ 
/*                                                                            */ 
/* Copyright (c) 1999 Sun Microsystems, Inc. All rights reserved.             */ 
/*                                                                            */ 
/* The contents of this file are subject to the current version of the Sun    */ 
/* Community Source License, microSPARCII ("the License"). You may not use    */ 
/* this file except in compliance with the License.  You may obtain a copy    */ 
/* of the License by searching for "Sun Community Source License" on the      */ 
/* World Wide Web at http://www.sun.com. See the License for the rights,      */ 
/* obligations, and limitations governing use of the contents of this file.   */ 
/*                                                                            */ 
/* Sun Microsystems, Inc. has intellectual property rights relating to the    */ 
/* technology embodied in these files. In particular, and without limitation, */ 
/* these intellectual property rights may include one or more U.S. patents,   */ 
/* foreign patents, or pending applications.                                  */ 
/*                                                                            */ 
/* Sun, Sun Microsystems, the Sun logo, all Sun-based trademarks and logos,   */ 
/* Solaris, Java and all Java-based trademarks and logos are trademarks or    */ 
/* registered trademarks of Sun Microsystems, Inc. in the United States and   */ 
/* other countries. microSPARC is a trademark or registered trademark of      */ 
/* SPARC International, Inc. All SPARC trademarks are used under license and  */ 
/* are trademarks or registered trademarks of SPARC International, Inc. in    */ 
/* the United States and other countries. Products bearing SPARC trademarks   */ 
/* are based upon an architecture developed by Sun Microsystems, Inc.         */ 
/*                                                                            */ 
/******************************************************************************/ 
/***************************************************************************
****************************************************************************
***
***  Program File:  @(#)column78.v
***
****************************************************************************
****************************************************************************/

//  @(#)column78.v	1.1  4/8/92
//
// **************************************************************
//  column78 -- 2 to 2 compression for column 78.
// **************************************************************

[Up: array col78]
module column78  (
		    sum,		// compressed sum
		    carry,		// compressed carry
		    cin1,		// non-ripple carry-in
		    x,			// multiplicand
		    y			// multiplier
		    );
	//prop CELLCLASS "MODULE"
	//prop GENERATOR "DataPath"
	//prop TERMPLACE "BIT"

    output sum;
	//prop TERMPLACE "BOT"
    output carry;
	//prop TERMPLACE "BOT"
    input  cin1;
	//prop TERMPLACE "RIGHT"
    input  [1:0] x;
	//prop TERMPLACE "TOP"
    input  [27:26] y;
	//prop TERMPLACE "LEFT"

    wire  [27:26] p;		// partial products
    wire  cin1;

    pproduct_2 pM (
	    p[27:26],
	    x[1:0],
	    y[27:26]
	    );
	    //prop TERMPLACE "POS=19"

    add2 aM  (
	    sum,
	    carry,
	    p[26],
	    p[27],
	    cin1
	    );
	    //prop TERMPLACE "POS=19"

endmodule
HierarchyFilesModulesSignalsTasksFunctionsHelp

This page: Created:Thu Aug 19 12:03:13 1999
From: ../../../sparc_v8/ssparc/fpu/fp_fpm/rtl/column78.v

Verilog converted to html by v2html 5.0 (written by Costas Calamvokis).Help